re architecting dram memory systems with silicon photonics
play

Re-Architecting DRAM Memory Systems with Silicon Photonics Scott - PowerPoint PPT Presentation

Re-Architecting DRAM Memory Systems with Silicon Photonics Scott Beamer 1 , Chen Sun 2 , Yong-jin Kwon 1 , Ajay Joshi 3 , Christopher Batten 4 , Vladimir Stojanovi 2 , Krste Asanovi 1 1: University of California, Berkeley, CA 2:


  1. Re-Architecting DRAM Memory Systems with Silicon Photonics Scott Beamer 1 , Chen Sun 2 , Yong-jin Kwon 1 , Ajay Joshi 3 , Christopher Batten 4 , Vladimir Stojanovi ć 2 , Krste Asanovi ć 1 1: University of California, Berkeley, CA 2: Massachusetts Institute of Technology, Cambridge, MA 3: Boston University, Boston, MA 4: Cornell University, Ithaca, NY International Symposium on Computer Architecture (ISCA) June 21, 2010

  2. Electrical DRAM is Limited Core Core Compute Chip On-Chip Interconnect Memory Memory Controller Controller On-Chip DRAM Chip Interconnect Page Bank

  3. Electrical DRAM is Limited Core Core Pin-bandwidth on Compute Chip the compute chip On-Chip Interconnect Memory Memory Controller Controller On-Chip DRAM Chip Interconnect Page Bank

  4. Electrical DRAM is Limited Core Core Pin-bandwidth on Compute Chip the compute chip On-Chip Interconnect I/O energy to move between Memory Memory Controller Controller chips On-Chip DRAM Chip Interconnect Page Bank

  5. Electrical DRAM is Limited Core Core Pin-bandwidth on Compute Chip the compute chip On-Chip Interconnect I/O energy to move between Memory Memory Controller Controller chips Cross-chip energy within DRAM chip On-Chip DRAM Chip Interconnect Page Bank

  6. Electrical DRAM is Limited Core Core Pin-bandwidth on Compute Chip the compute chip On-Chip Interconnect I/O energy to move between Memory Memory Controller Controller chips Cross-chip energy within DRAM chip On-Chip DRAM Chip Interconnect Activation energy within DRAM chip Page Bank

  7. Solution: Silicon Photonics Core Core Compute Chip On-Chip Interconnect Memory Memory Controller Controller On-Chip DRAM Chip Interconnect Page Bank

  8. Solution: Silicon Photonics Core Core Great bandwidth Compute Chip density On-Chip Interconnect Great off-chip energy efficiency Memory Memory Controller Controller On-Chip DRAM Chip Interconnect Page Bank

  9. Solution: Silicon Photonics Core Core Great bandwidth Compute Chip density On-Chip Interconnect Great off-chip energy efficiency Memory Memory Controller Controller Costs little additional energy to use on-chip On-Chip DRAM Chip after off-chip Interconnect Page Bank

  10. Solution: Silicon Photonics Core Core Great bandwidth Compute Chip density On-Chip Interconnect Great off-chip energy efficiency Memory Memory Controller Controller Costs little additional energy to use on-chip On-Chip DRAM Chip after off-chip Interconnect Enables page size Page Bank reduction

  11. Outline Technology Background Electrical DRAM Technology Silicon-Photonic Technology Re-Architecting DRAM Memory Systems Chip-Level Bank-Level Evaluation Scaling Capacity with Optical Power Guiding

  12. Current DRAM Structure

  13. Current DRAM Structure Cell wordline bitline

  14. Current DRAM Structure Array Core Cell Cell Row Decoder wordline wordline bitline bitline IO

  15. Current DRAM Structure Array Core Array Block Array Core Helper FFs Cell Cell Cell Row Decoder Row Decoder wordline wordline wordline bitline bitline bitline IO IO Column Decoder

  16. Current DRAM Structure Array Core Array Core Array Block Array Block Array Core Helper FFs Helper FFs Cell Cell Cell Cell Row Decoder Row Decoder Row Decoder wordline wordline wordline wordline bitline bitline bitline bitline IO IO IO Column Decoder Column Decoder I/O Strip Bank Chip

  17. Current DRAM Structure Array Core Array Core Array Core Array Block Array Block Array Block Array Core Helper FFs Helper FFs Helper FFs Cell Cell Cell Cell Cell Row Decoder Row Decoder Row Decoder Row Decoder wordline wordline wordline wordline wordline bitline bitline bitline bitline bitline IO IO IO IO Column Decoder Column Decoder Column Decoder Rank Memory Controller I/O Strip I/O Strip Bank Bank Channel Chip Chip

  18. Photonic Technology Monolithically integrated silicon photonics being researched by MIT Center for Integrated Photonic Systems (CIPS) Backend Poly-Si Dielectric Waveguide STI Silicon Air Gap Substrate Holzwarth et al., CLEO 2008

  19. Photonic Link Die 1 Die 2 Photo- Vertical Ring Ring Off-chip Fiber detector Coupler Modulator Filter Laser Waveguide Each wavelength can transmit at 10Gbps Dense Wave Division Multiplexing (DWDM) 64 wavelengths per direction in same media Rough Comparison Electrical Photonic Off-Chip I/O Energy (pJ/bit) 5 0.150 Off-Chip BW Density (Tbps/mm 2 ) 1.5 50.000

  20. Photonic Summary Power consumers for a photonic link: Light Generation Encode/Decode (Electro-Optical Conversion) Thermal Tuning Features we are leveraging: Better off-chip energy efficiency (bits/J) Better off-chip bandwidth density (b/mm2) Seamless inter-chip links Can be built using mostly standard process

  21. Outline Technology Background Electrical DRAM Technology Silicon-Photonic Technology Re-Architecting DRAM Memory Systems Chip-Level Bank-Level Evaluation Scaling Capacity with Optical Power Guiding

  22. Photonics to the Chip Photonics Off-Chip w/ Electrical Baseline (E1) Electrical On-Chip (P1) E E E E E E E E P P P P P P P P Bank Bank E Electrical Off-Chip Driver P Photonic Data Access Point

  23. Photonics Into the Chip 2 Data Access Points 8 Data Access Points per Column (P2) per Column (P8) Bank Bank Photonic Data Access Point Photonic Data Access Point

  24. Reducing Activate Energy Want to activate less bits while achieving the same access width Increase number of I/Os per array core, which decreases page size Bank Bank DRAM Chip Array Block Activated Row Data Accessed Initial Design Double the I/Os (and bandwidth)

  25. Outline Technology Background Electrical DRAM Technology Silicon-Photonic Technology Re-Architecting DRAM Memory Systems Chip-Level Bank-Level Evaluation Scaling Capacity with Optical Power Guiding

  26. Methodology Photonic Model - aggressive and conservative projections DRAM Model - Heavily modified CACTI-D Custom C++ architectural simulator running random traffic to animate models Setup is configurable, in this presentation: 1 chip to obtain 1GB capacity with >500Gbps of bandwidth provided by 64 banks

  27. Energy for On/Off-Chip Floorplan

  28. Reducing Row Size 4 I/Os per 32 I/Os per Array Core Array Core

  29. Latency Latency marginally better Most of latency is within array core Since array core mostly unchanged, latency only slightly improved by reduced serialization latency

  30. Area 4 I/Os per 32 I/Os per Array Core Array Core

  31. Outline Technology Background Electrical DRAM Technology Silicon-Photonic Technology Re-Architecting DRAM Memory Systems Chip-Level Bank-Level Evaluation Scaling Capacity with Optical Power Guiding

  32. Scaling Capacity Motivation: allow the system to increase capacity without increasing bandwidth

  33. Scaling Capacity Motivation: allow the system to increase capacity without increasing bandwidth Shared Photonic Bus Compute Chip DRAM Chip Laser Vantrease et al., ISCA 2008 Disadvantage : high path loss (grows exponentially) due to couplers and waveguide

  34. Split Photonic Bus Compute Chip DRAM Chip Laser Advantage: much lower path loss Disadvantage: all paths lit

  35. Guided Photonic Bus Compute Chip DRAM Chip Laser Advantage: only 1 low loss path lit

  36. Scaling Results 0.2 P1 Shared 0.15 Laser Energy (pJ/bt) P8 Shared P16 Shared P1 Split 0.1 P8 Split P16 Split P1 Guided 0.05 P8 Guided P16 Guided 0 0 5 10 15 20 25 30 Number of PIDRAM Chips per Channel

  37. With Photonics...

  38. With Photonics... 10x memory bandwidth for same power

  39. With Photonics... 10x memory bandwidth for same power Higher memory capacity without sacrificing bandwidth

  40. With Photonics... 10x memory bandwidth for same power Higher memory capacity without sacrificing bandwidth Area neutral

  41. With Photonics... 10x memory bandwidth for same power Higher memory capacity without sacrificing bandwidth Area neutral Easily adapted to other storage technologies

  42. With Photonics... 10x memory bandwidth for same power Higher memory capacity without sacrificing bandwidth Area neutral Easily adapted to other storage technologies We would like to thank the MIT Center for Integrated Photonic Systems (CIPS) for researching the enabling technology, including: Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milo š Popovi ć , Hanqing Li, Henry Smith, Judy Hoyt, Franz Kartner, Rajeev Ram, Michael Georgas, Jonathan Leu, John Sun, Cheryl Sorace

  43. With Photonics... 10x memory bandwidth for same power Higher memory capacity without sacrificing bandwidth Area neutral Easily adapted to other storage technologies We would like to thank the MIT Center for Integrated Photonic Systems (CIPS) for researching the enabling technology, including: Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milo š Popovi ć , Hanqing Li, Henry Smith, Judy Hoyt, Franz Kartner, Rajeev Ram, Michael Georgas, Jonathan Leu, John Sun, Cheryl Sorace This work was in part funded by:

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend