vhdl latches e flip flops
play

VHDL Latches e Flip-Flops 1 MC602 2011 Tpicos IC-UNICAMP Uso - PowerPoint PPT Presentation

MC 602 IC-UNICAMP IC/Unicamp 2011s2 Prof Mario Crtes VHDL Latches e Flip-Flops 1 MC602 2011 Tpicos IC-UNICAMP Uso de processo e memria implcita Latches FFs Reset sncronos e assncronos 2 MC602 2011


  1. MC 602 IC-UNICAMP IC/Unicamp 2011s2 Prof Mario Côrtes VHDL Latches e Flip-Flops 1 MC602 – 2011

  2. Tópicos IC-UNICAMP • Uso de processo e memória implícita • Latches • FFs – Reset síncronos e assíncronos 2 MC602 – 2011

  3. Descrições em VHDL IC-UNICAMP • Conceito importante: process PROCESS ( A, B ) BEGIN …….. -- corpo do processo END PROCESS • Trecho entre Begin e End é executado sequencialmente (a ordem importa) • O processo é executado concorrentemente como as demais declarações • O processo é invocado quando muda algum sinal/variável na lista de sensibilidade 3 MC602 – 2011

  4. Instanciação de FFD de um pacote IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; LIBRARY altera ; USE altera.maxplus2.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Resetn, Presetn : IN STD_LOGIC ; Q : OUT STD_LOGIC ) ; END flipflop ; ARCHITECTURE Structure OF flipflop IS BEGIN dff_instance: dff PORT MAP ( D, Clock, Resetn, Presetn, Q ) ; END Structure ; 4 MC602 – 2011

  5. Memória implícita IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY implied IS PORT ( A, B : IN STD_LOGIC ; AeqB : OUT STD_LOGIC ) ; END implied ; ARCHITECTURE Behavior OF implied IS BEGIN PROCESS ( A, B ) BEGIN IF A = B THEN AeqB <= '1' ; END IF ; END PROCESS ; END Behavior ; 5 MC602 – 2011

  6. Latch tipo D chaveado IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY latch IS PORT ( D, Clk : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END latch ; ARCHITECTURE Behavior OF latch IS BEGIN PROCESS ( D, Clk ) BEGIN IF Clk = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ; 6 MC602 – 2011

  7. Flip-Flop tipo D IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ; 7 MC602 – 2011

  8. FFD com Wait Until IC-UNICAMP LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC ) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; Q <= D ; END PROCESS ; END Behavior ; 8 MC602 – 2011

  9. FFD com Reset assíncrono IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= '0' ; ELSIF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ; 9 MC602 – 2011

  10. FFD com Reset síncrono IC-UNICAMP LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF Resetn = '0' THEN Q <= '0' ; ELSE Q <= D ; END IF ; END PROCESS ; END Behavior ; 10 MC602 – 2011

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend