stress aware routing to mitigate aging effects in sram
play

Stress-Aware Routing to Mitigate Aging Effects in SRAM-based FPGAs - PowerPoint PPT Presentation

Stress-Aware Routing to Mitigate Aging Effects in SRAM-based FPGAs International Conference on Field- Programmable Logic and Applications Lausanne, Switzerland, Aug. 29 th Sep. 2 nd , 2016 Behnam Khaleghi , Behzad Omidi


  1. Stress-Aware Routing to Mitigate Aging Effects in SRAM-based FPGAs ๐Ÿ‘๐Ÿ• ๐ฎ๐ข International Conference on Field- Programmable Logic and Applications Lausanne, Switzerland, Aug. 29 th โ€“ Sep. 2 nd , 2016 Behnam Khaleghi ๐Ÿ , Behzad Omidi ๐Ÿ , Hussam Amrouch ๐Ÿ‘ , Joerg Henkel ๐Ÿ‘ and Hossein Asadi ๐Ÿ 1 Sharif University of Technology, Iran 2 Karlsruhe Institute of Technology, Germany

  2. Nano-CMOS Challenges Nano-CMOS Era Area & Reliability Power Cost ๏Š ๏Œ Density ๏Œ External Implementation Component Disturbances Mistakes Defects Lightning, Radiations, Wear-out, Aging Temperature etc. Noise etc. 2/23

  3. Basic Concepts: Aging Phenomenon ๏‚ง Aging + + + |๐‘Š ๐‘•๐‘ก | = ๐‘Š๐‘’๐‘’ + + |๐‘Š ๐‘•๐‘ก | = 0 - - H H H ๏‚ง Bias Temperature Instability (BTI) Si Si Si Source(p) Drain(p) ๏‚ง Negative BTI pMOS type ๏‚ง Positive BTI nMOS type ๏‚ง Hot Carrier Induced Degradation (HCID) ๐‘Š ๐‘• ๏‚ง Negative HCID pMOS type ๐‘Š ๐‘’ ๐ฝ ๐‘•๐‘๐‘ข๐‘“ ๏‚ง Positive HCID nMOS type - - - ๐ฝ ๐‘‘โ„Ž๐‘๐‘œ๐‘œ๐‘“๐‘š Source(n) Drain(n) ๏‚ง Other types: ๐ฝ ๐‘๐‘ฃ๐‘š๐‘™ ๏‚ง Time Dependent Dielectric Breakdown ๏‚ง Electro migration 3/23

  4. Basic Concepts: BTI Remove Voltage ๏‚ง Bias Temperature Instability Threshold Voltage Stress Recovery ๏‚ง Includes two phases: ๏ƒถ Stress time ๏‚ง Applied voltage to Gate of MOS ๐‘Š ๐‘•๐‘ก = ๐‘Š ๐‘’๐‘’ ๏ƒจ Threshold voltage โ†‘ ๏‚ง ๏ƒถ Recovery time Time ๏‚ง Remove voltage to Gate of MOS ๐‘Š ๐‘•๐‘ก = 0 ๏ƒจ Threshold voltage โ†“ ๏‚ง ๏‚ง Ideal Duty Cycle (DC) = 0.5 ๏ƒ˜ Attention: โˆ†๐‘พ ๐’–๐’Š ๐‘บ๐’‡๐’…๐’‘๐’˜๐’‡๐’”๐’›โˆ’๐‘ผ๐’‹๐’๐’‡ < โˆ†๐‘พ ๐’–๐’Š ๐‘ป๐’–๐’”๐’‡๐’•๐’•โˆ’๐‘ผ๐’‹๐’๐’‡ ๐‘‡. ๐ฟ๐‘—๐‘๐‘›๐‘“โ„Ž๐‘ , ๐ต. ๐ต๐‘›๐‘๐‘ฃ๐‘ ๐‘—, ๐‘๐‘œ๐‘’ ๐‘. ๐ถ. ๐‘ˆ๐‘โ„Ž๐‘๐‘๐‘ ๐‘—, Investigation of nbti and pbti induced aging in different lut implementations, ๐ฝ๐‘œ ๐ฝ๐‘œ๐‘ข๐‘š. ๐ท๐‘๐‘œ๐‘”๐‘“๐‘ ๐‘“๐‘œ๐‘‘๐‘“ ๐‘๐‘œ ๐บ๐‘—๐‘“๐‘š๐‘’ โˆ’ ๐‘„๐‘ ๐‘๐‘•๐‘ ๐‘๐‘›๐‘›๐‘๐‘๐‘š๐‘“ ๐‘ˆ๐‘“๐‘‘โ„Ž๐‘œ๐‘๐‘š๐‘๐‘•๐‘ง (๐บ๐‘„๐‘ˆ), 2011 . 4/23

  5. Basic Concepts: BTI Impacts ๏‚ง Negative Effects of BTI I D Reduction Timing Delay Increase (I d โˆ V dd โˆ’ Vth) Violation V th Shift Transient & Critical Charge Soft Error Permanent Reduction Sensitivity Fault 5/23

  6. Motivation: FPGA Susceptibility ๏‚ง FPGA High Susceptibility to Aging I 1 SRAM I 2 ๏‚ง Circuit varies with each new reconfig. I3 Output LUT Mux ๏‚ง Both logic and configuration memory Flip- ๏‚ง Configuration memory ๏ƒจ permanent fault In Flop c ๏‚ง Too many resources to monitor N n N 1 . . . LB CB LB CB LB Logic: 20%-30% Routing: 80%-70% CB SM CB SM CB W 1 E 1 . . . . LB CB LB CB LB Aging in Routing . . W n E n more Challenging. SM SM CB CB CB LB CB LB CB LB S 1 . . . S n 6/23

  7. Motivation: Routing Vulnerability Routing 1 Routing 0 LUT 1 LUT 0 20 x 10000 Flash Translation 15 Layer Algorithm 10 5 in SSD Controllers 0 (Min size Virtex-II) ๏‚ง ๐‘„ ๐‘ก๐‘ข๐‘ ๐‘“๐‘ก๐‘ก (๐‘†๐‘๐‘ฃ๐‘ข๐‘—๐‘œ๐‘•) โ‰ˆ ๐‘„ 0โ†’0 + ๐‘„ 1โ†’1 = 0.92 ร— 0.92 + 0.08 ร— 0.08 = 0.85 ๏‚ง ๐‘„ ๐‘ก๐‘ข๐‘ ๐‘“๐‘ก๐‘ก (๐‘€๐‘‰๐‘ˆ) โ‰ˆ ๐‘„ 0โ†’0 + ๐‘„ 1โ†’1 = 0.41 ร— 0.41 + 0.59 ร— 0.59 = 0.52 7/23

  8. Motivation: Routing Vulnerability ๏‚ง Routing SRAMs are within Circuit Critical Path ๏‚ง Not for LUTs PG-Mux PG-Buffer PG-Combined TMG-Mux TMG-Buffer TMG-Combined Aging Induced Delay LUT 18% 16% 14% 12% 10% 8% 6% 4% 2% 0% 0 1 2 3 4 5 6 7 8 9 10 Year LUT SB MUX 8/23

  9. Proposed Method: Duty Cycle ๏‚ง Optimal Duty Cycle ๏‚ง SRAM DC = 0.5 ๏‚ง So, associated SB transistor 9/23

  10. Proposed Method: Reconfigurations ๏‚ง Configuration Cells in Consecutive Reconfigurations ๏ƒผ Invertible ๏ƒผ 0 โ†’ 1 Overlapping 0 Prev.0 Noninvertible New.1 Zero New.0 Overlapping 1 Prev.1 ๏ƒผ 1 โ†’ 0 10/23

  11. Proposed Method: Base Architecture ๏‚ง FPGA Architecture and Structures in Proposed Method Bi (top) & Uni- Bi (top) & Uni- Island-Style Directional SM Directional SB Architecture (bottom) (bottom) 11/23

  12. Proposed Method: Cell Inversion ๏‚ง Switch-Box: Buffer Based 1 0 0 0 ๏‚ง Direct path enabling 1 0 1 0 0 0 1 0 1 0 0 1 0 0 12/23

  13. Proposed Method: Cell Inverting 1 0 ๏‚ง Switch-Box: Multiplexer Based ๏‚ง Hamming distance concept 1 0 1 1 6 Transistors Inverted 2 SRAMs Inverted 0 Hotspot Transistor 0 0 4 Transistors Inverted 4 Transistors Inverted 1 SRAM Inverted 1 SRAM Inverted 1 Hotspot Transistor 2 Hotspot Transistors 13/23

  14. Proposed Method Scheme 2 Scheme 1 ๏‚ง Routing by Assigning Higher Cost ๏‚ง Routing by Avoiding Using to Previous Used Cells Previous Active (0 or 1) Cells ๏‚ง Inverting All Unused Cells ๏‚ง Inverting All Unused Cells Proposed Method ๏‚ง Assigning Initial Cost for (prev.) Active SRAMs ๏‚ง Overlaping SRAM > Threshold โ†’ Use Scheme 1 ๏‚ง Overlaping SRAM < Threshold โ†’ Use Scheme 2 14/23

  15. Proposed Method: Overall Flow Start No Reduce the Cost Routing Succeeded? of Config prev Read Previous Configuration ( Config prev ) Yes Read Overlapping Configuration Invert Unused Cells of (Config overlap ) New Design(0 โ†’ 1)โˆ— Yes Undo Cost โ† Assign_Priority (Mux i โˆˆ Config prev ) Improper Connection? Inverted bits No No No Config overlap < threshold All Unused Cells Checked? Yes Yes Update New Designโ€™s Mux i โ† invert(Mux i โˆˆ Config overlap ) Configuration End * Unconditional 1 ๏ƒ  0 inverting 15/23

  16. Proposed Method: Threshold Value alu4 apex2 apex4 bigkey clma (used configuration bits) des diffeq dsip elliptic ex5p ex1010 frisc misex3 pdc s298 Shared Cells s38417.1 s38581 seq spla tseng Placing 100 90 Benchmarks with 80 70 60 Different Seed 50 40 Numbers 30 20 10 0 (used configurations bit) 1 2 3 4 5 6 7 8 9 10 11 # of Reconfigurations with Different Placements 100 Shared Cells 100 100 90 80 Average 70 60 Overlapping 50 40 23.8 Configuration Bit 30 20 7.7 2.9 1.2 0.5 0.2 10 0.1 0.05 0.02 0.01 0 1 2 3 4 5 6 7 8 9 10 11 # of Reconfigurations with Different Placements 16/23

  17. Experimental Setup Tools Architecture Island Style Aging Impact HSpice Measurement Uni and Bi SB Type Clustering, Placement Directional VPR 7.0 and Routing Switch Matrix Subset Topology Devices Buffer/ SB Structure Logic Array Minimum Multiplexer Routing Channel Wire Segment 1.2x Min. L=1 Width Length 17/23

  18. Experimental Setup (cont.) ๏‚ง Assumption ๏‚ง Circuits reconfigured in identical time intervals ๏‚ง Same Circuit is Reconfigured ๏‚ง Using different placement seeds ๏‚ง FPGA array size and channel width is maintained ๏‚ง Same circuit with different placement and routing ๏‚ง Analogous to using different circuits ๏‚ง VPR tool modified to generate bitstream after routing each circuit 18/23

  19. Results ๏ƒ˜ Stress Time Reduction (Duty Cycle) Mux-Conv Mux-Proposed Buffer-Conv Buffer-Proposed 1.0 ycle y Cyc 40% Mux-based 0.5 Duty 41% Buffer-based 0.0 ๏ƒ˜ Hot Spot Elimination (Required Reconfigurations) Mux-Conv Mux-Proposed Buffer-Conv Buffer-Proposed ion 15 iguratio 10 63% Mux-based nfig Reconf 5 57% Buffer-based 0 19/23

  20. Results (cont.) ๏ƒ˜ Aging Induced Delay (Average) Base 3year Proposed 3year Base 10year Proposed 10year Aging Induced 10% Delay 3 years 8.7% 3 years (OPT) 11.15% 5% 10 years 17.52% 10 years (OPT) 19.66% 0% ๏ƒ˜ Aging Induced Delay (Worst) 3 years 10 years 20% Improvement 15% 3 years 9.72% 10% 5% 10 years 18.32% 0% 20/23

  21. Results (cont.) ๏ƒ˜ Performance Overhead Frequency (MHz) Mux-Conv Mux-Proposed Buffer-Conv Buffer-Proposed 300 250 200 Mux based 0.2% 150 100 0% Buffer based 50 0 ๏ƒ˜ Lifetime Improvement 25 thod Proposed Meth 20 (year) 15 10 5 0 4 5 6 7 8 9 10 Baselin ine lifeti time (year) 21/23

  22. Future Work ๏‚ง Generalization ๏‚ง Commercial FPGA Parameters ๏‚ง SB multiplexer ๏‚ง Size, pass gate vs. transmission, two-level versus tree-based ๏‚ง Wire length ๏‚ง Switch matrix topology ๏‚ง Impact of Temperature Distribution, Process Variation, etc. ๏‚ง Impact on Soft Error Rate 22/23

  23. Questions Thanks for Your Patience!

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend