efficient verification of verilog cell libraries
play

Efficient Verification of Verilog Cell Libraries Matthias - PowerPoint PPT Presentation

Efficient Verification of Verilog Cell Libraries Matthias Raffelsieper HWVW 2010 Motivation Valichip project: Formal verification of cell libraries Cooperation between TU/Eindhoven and industrial partners Fenix Design Automation and NXP Goal:


  1. Efficient Verification of Verilog Cell Libraries Matthias Raffelsieper HWVW 2010

  2. Motivation Valichip project: Formal verification of cell libraries Cooperation between TU/Eindhoven and industrial partners Fenix Design Automation and NXP Goal: Check that different functional descriptions are equivalent Contributions: Defined a formal semantics for subset of Verilog � Observed differences in Verilog simulators Developed efficient analysis of non-determinism Identified functional behavior contained in timing descriptions Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 2 / 16

  3. Acknowledgments People that contributed to the Valichip project: MohammadReza Mousavi Jan-Willem Roorda Chris Strolenberg Wieger Wesselink Hans Zantema Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 3 / 16

  4. Outline 1 Cell Libraries 2 Equivalence Checking 3 Analysis of Non-Determinism in Cells 4 Timing Specifications 5 Experimental Results 6 Conclusion and Outlook Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 4 / 16

  5. Cell Libraries Cell Library: Collection of standard cells with different levels of abstraction, usually Transistor Netlist implementation Functional descriptions of cells in a subset of Verilog, called VeriCell and consisting of Ternary Constants T = { 0, 1, X } Variables, e.g., ck , d , . . . Built-in primitives , e.g., not , and , . . . User Defined Primitives ( UDPs ) A module instantiating a number of primitives, thereby defining the cell Example (D Flip-Flop with Active Low Enable) module dff_enb(q, d, ck, enb); output q; input d, ck, enb; not (en, enb); dff_en (q, d, ck, en); endmodule Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 5 / 16

  6. Order-Dependence of UDP Evaluation Example primitive dff_en(Q, D, CK, EN); output Q; reg Q; input D, CK, EN; � Orders: CK , D D , CK table // : Q : Q’ D CK EN Values: 0 (01) 1 : ? : 0; D CK EN Q � �� � � �� � � �� � ���� 1 (01) 1 : ? : 1; ( 0, 1 ) , ( 0, 1 ) , ( 1, 1 ) X ? (10) ? : ? : -; ? ? : ? : -; * � Results: 0 1 ? ? 0 : ? : -; ? ? : ? : -; * endtable endprimitive � Evaluation is parametrized by an order Simulators use one specific order of evaluation Not justified by real hardware behavior � Check order-independence Whether output is independent of the order of considering inputs Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 6 / 16

  7. UDP Evaluation Given a UDP with n inputs. � ( i p � Input vector � 1 , i 1 ) , . . . , ( i p i = n , i n ) contains previous and current value of all inputs � i , o p ) : Output when considering j -th input changed Φ j ( List ℓ = j 1 : . . . : j k with entries between 1 and n not containing duplicates ℓ = nil denotes the empty list ℓ is a permutation if k = n Definition (UDP Evaluation Function) � i , o p , ℓ � : Output of UDP after considering inputs in order ℓ � � i , o p , nil � = o p � � ( i p � 1 , i 1 ) , . . . , ( i j , i j ) , . . . , ( i p � � i , o p , j : ℓ � = � i , o p ) , ℓ � � n , i n ) , Φ j ( Most simulators use permutation ℓ = n : n − 1 : · · · : 1 Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 7 / 16

  8. Semantics of VeriCell programs Operational semantics with three phases: Execute, Update, Time-Advance Execute: Determine new outputs of active processes (instances for which an input has changed) Update: Clear current transitions, store new output values Time-Advance: When no more active processes and no up- dates, advance simulation time and apply new inputs Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 8 / 16

  9. Model-Checking Equivalence [ACSD’09] 1 Encode VeriCell into transition system (using the presented semantics) Encodes only the simulator order for UDPs to prevent blow-up 2 Create transition system from Transistor Netlist (using a standard algorithm) 3 Write both transition systems into one SMV file 4 Apply SMV model checker to verify equivalence Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 9 / 16

  10. Order-Independence Output of a UDP might depend on order of evaluation ⇒ Non-deterministic behavior, when order is uncontrollable ⇒ Undesired in practice Definition (Order-Independence) A UDP with n inputs is called order-independent, if for all input vectors � i , all previous outputs o p , and all permutations π , π ′ : � � i , o p , π ′ � i , o p , π � = � � Checked in O ( n ! ) function comparisons Keeping one permutation constant, e.g., the identity permutation Can we do better? Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 10 / 16

  11. Commuting Diamond Property Definition (Commuting Diamond Property) Inputs 1 ≤ k , m ≤ n with k � = m have the commuting diamond property ( k ⋄ m ), if for all input vectors � i and previous outputs o p : � i , o p , k : m � = � � i , o p , m : k � � � i , o p k m � i k , o ′ � i m , o ′′ m k � i k , m , o Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 11 / 16

  12. Efficient Analysis of Order-Independence Theorem [FMICS’09] A UDP with n inputs is order-independent, if and only if for every pair 1 ≤ k < m ≤ n we have k ⋄ m. Checked in O ( n 2 ) function comparisons Relies on specific properties of UDP evaluation Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 12 / 16

  13. Considering Timing Checks Full order-independence is very unlikely Often some data is clocked in, then the order is important Use further information given in the cell library Timing Checks specify time windows in which two inputs must not both change Example $setuphold ( posedge ck, d, t s , t h ); ⇒ Remove counterexamples contradicting the timing checks ⇒ When no more counterexamples, then UDP is order-independent in environments respecting the timing checks Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 13 / 16

  14. Module Paths [DATE’10] Timing behavior of cells given by Module Paths (a.k.a. Timing Arcs, Delay Arcs, . . . ) Describe that input changes can cause certain output changes � Functional behavior 1 Checking feasibility of module paths to increase confidence in delay calculation Not taking the exact values into account 2 Complementing technique: Deriving module paths from the functional description All possible module paths have been treated Forgotten module paths treated as 0 delay by simulators Approach Express as reachability problems and use symbolic model checking Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 14 / 16

  15. Experimental Results Validated all presented techniques on industrial cell libraries Including publicly available Nangate Open Cell Library Results: Time required for complete analysis in the range of a few seconds per cell Order-dependent behavior found for 2 cells of the Nangate cell library Seems to be a forgotten timing check When adding the missing timing check then also order-independent Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 15 / 16

  16. Conclusion and Outlook Conclusion: Automatic equivalence checking of cell libraries [ACSD’09] Efficient method to analyze non-determinism of Verilog UDPs [FMICS’09] Recently also adapted to transistor netlists [ACSD’10] Feasability checking and derivation of module paths from functional descriptions [DATE’10] Applied our techniques to industrial cell libraries Future Work: Encode delays into transition systems Enlarge VeriCell subset of Verilog Include built-in primitives that distinguish fourth value Z Problem: Introduces further non-determinism Incorporate slicing to deal with larger designs Matthias Raffelsieper Efficient Verification of Verilog Cell Libraries HWVW 2010 16 / 16

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend