cs ece 6710 tool suite
play

CS/ECE 6710 Tool Suite Verilog sim Synopsys Behavioral Design - PDF document

Synthesis and Place & Route Synopsys design compiler Cadence Encounter Digital Implementation System (EDI) CS/ECE 6710 Tool Suite Verilog sim Synopsys Behavioral Design Compiler Verilog Structural Verilog Cadence Your EDI Library


  1. Synthesis and Place & Route Synopsys design compiler Cadence Encounter Digital Implementation System (EDI) CS/ECE 6710 Tool Suite Verilog sim Synopsys Behavioral Design Compiler Verilog Structural Verilog Cadence Your EDI Library Circuit Verilog sim Layout Cadence Cadence LVS CCAR Virtuoso Composer AutoRouter Layout-XL Layout Schematic  1

  2. Design Compiler  Synthesis of behavioral to structural  Three ways to go: Type commands to the design compiler shell 1. Start with syn-dc and start typing  Write a script 2. Use syn-script.tcl as a starting point  Use the Design Vision GUI 3. Friendly menus and graphics...  Design Compiler – Basic Flow 1. Define environment target libraries – your cell library  synthetic libraries – DesignWare libraries  link-libraries – libraries to link against  2. Read in your structural Verilog Usually split into analyze and elaborate  3. Set constraints timing – define clock, loads, etc.   2

  3. Design Compiler – Basic Flow 4. Compile the design compile or compile_ultra  Does the actual synthesis  5. Write out the results Make sure to change_names  Write out structural verilog, report, ddc, sdc  files beh2str – the simplest script! # beh2str script set target_library [list [getenv "LIBFILE"]] set link_library [concat [concat "*" $target_library] $synthetic_library] read_file -f verilog [getenv "INFILE"] #/* This command will fix the problem of having */ #/* assign statements left in your structural file. */ set_fix_multiple_port_nets -all -buffer_constants compile -ungroup_all check_design #/* always do change_names before write... */ redirect change_names { change_names -rules verilog -hierarchy - verbose } write -f verilog -output [getenv "OUTFILE"] quit  3

  4. .synopsys_dc.setup set SynopsysInstall [getenv "SYNOPSYS"] set search_path [list . \ [format "%s%s" $SynopsysInstall /libraries/syn] \ [format "%s%s" $SynopsysInstall /dw/sim_ver] \ ] define_design_lib WORK -path ./WORK set synthetic_library [list dw_foundation.sldb] set synlib_wait_for_design_license [list "DesignWare-Foundation"] set link_library [concat [concat "*" $target_library] $synthetic_library] set symbol_library [list generic.sdb] What beh2str leaves out...  Timing!  No clock defined so no target speed  No wire load model, so fewer placement constraints  No input drive defined so assume infinite drive  No output load define so assume something  4

  5. syn-script.tcl  /uusoc/facility/cad_common/local/class/6710/F13/synopsys #/* search path should include directories with memory .db files */ #/* as well as the standard cells */ set search_path [list . \ [format "%s%s" SynopsysInstall /libraries/syn] \ [format "%s%s" SynopsysInstall /dw/sim_ver] \ !!your-library-path-goes-here!!] #/* target library list should include all target .db files */ set target_library [list !!your-library-name!!.db] #/* synthetic_library is set in .synopsys_dc.setup to be */ #/* the dw_foundation library. */ set link_library [concat [concat "*" $target_library] $synthetic_library] syn-script.tcl #/* below are parameters that you will want to set for each design */ #/* list of all HDL files in the design */ set myFiles [list !!all-your-structural-Verilog-files!! ] set fileFormat verilog ;# verilog or VHDL set basename !!basename!! ;# Name of top-level module set myClk !!clk!! ;# The name of your clock set virtual 0 ;# 1 if virtual clock, 0 if real clock #/* compiler switches... */ set useUltra 1 ;# 1 for compile_ultra, 0 for compile # mapEffort, useUngroup are for # non-ultra compile... set mapEffort1 medium ;# First pass - low, medium, or high set mapEffort2 medium ;# second pass - low, medium, or high set useUngroup 1 ;# 0 if no flatten, 1 if flatten  5

  6. syn-script.tcl #/* Timing and loading information */ set myPeriod_ns !!10!! ;# desired clock period (sets speed goal) set myInDelay_ns !!0.25!! ;# delay from clock to inputs valid set myOutDelay_ns !!0.25!! ;# delay from clock to output valid set myInputBuf !!INVX4!! ;# name of cell driving the inputs set myLoadLibrary !!Lib!! ;# name of library the cell comes from set myLoadPin !!A!! ;# pin that outputs drive #/* Control the writing of result files */ set runname struct ;# Name appended to output files syn-script.tcl #/* the following control which output files you want. They */ #/* should be set to 1 if you want the file, 0 if not */ set write_v 1 ;# compiled structural Verilog file set write_ddc 0 ;# compiled file in ddc format set write_sdf 0 ;# sdf file for back-annotated timing sim set write_sdc 1 ;# sdc constraint file for place and route set write_rep 1 ;# report file from compilation set write_pow 0 ;# report file for power estimate  6

  7. syn-script.tcl # analyze and elaborate the files analyze -format $fileFormat -lib WORK $myfiles elaborate $basename -lib WORK -update current_design $basename # The link command makes sure that all the required design # parts are linked together. # The uniquify command makes unique copies of replicated modules. link uniquify # now you can create clocks for the design if { $virtual == 0 } { create_clock -period $myPeriod_ns $myClk } else { create_clock -period $myPeriod_ns -name $myClk } syn-script.tcl # Set the driving cell for all inputs except the clock # The clock has infinite drive by default. This is usually # what you want for synthesis because you will use other # tools (like SOC Encounter) to build the clock tree (or define it by hand). set_driving_cell -library $myLoadLibrary -lib_cell $myInputBuf \ [remove_from_collection [all_inputs] $myClk] # set the input and output delay relative to myclk set_input_delay $myInDelay_ns -clock $myClk \ [remove_from_collection [all_inputs] $myClk] set_output_delay $myOutDelay_ns -clock $myClk [all_outputs] # set the load of the circuit outputs in terms of the load # of the next cell that they will drive, also try to fix hold time issues set_load [load_of [format “%s%s%s%s%s” $myLoadLibrary \ "/" $myInputBuf "/" $myLoadPin]] [all_outputs] set_fix_hold $myClk  7

  8. syn-script.tcl # now compile the design with given mapping effort # and do a second compile with incremental mapping # or use the compile_ultra meta-command if { $useUltra == 1 } { compile_ultra } else { if { $useUngroup == 1 } { compile -ungoup_all -map_effort $mapEffort1 compile -incremental_mapping -map_effort $mapEffort2 } else { compile -map_effort $mapEffort1 compile -incremental_mapping -map_effort $mapEffort2 } } syn-script.tcl # Check things for errors check_design report_constraint -all_violators set filebase [format "%s%s%s" $basename "_" $runname] # structural (synthesized) file as verilog if { $write_v == 1 } { set filename [format "%s%s" $filebase ".v"] redirect change_names { change_names -rules verilog \ -hierarchy -verbose } write -format verilog -hierarchy -output $filename } # write the rest of the desired files... then quit  8

  9. Using Scripts  Modify syn-script.tcl or write your own  syn-dc –f scriptname.tcl  Make sure to check output!!!! Using Design Vision  You can do all of these commands from the design vision gui if you like  syn-dv  Follow the same steps as the script  Set libraries in your own .synopsys_dc.setup  analyze/elaborate  define clock and set constraints  compile  write out results  9

  10. Setup File ->Setup analyze/elaborate File -> Analyze File ->Elaborate  10

  11. Look at results... Define clock attributes -> specify clock Also look at other attributes...  11

  12. Compile Design -> Compile Ultra Timing Reports Timing -> Report Timing Path  12

  13. Write Results change_names File -> Save As... Or, use syn-dv after script...  syn-dc –f mips.tcl  results in .v, .ddc, .sdc, .rep files  Read the .ddc file into syn-dv and use it to explore timing...  13

  14. syn-dv with mips_struct.v File -> Read Endpoint slack... Timing -> Endpoint Slack  14

  15. Path Slack Timing -> Path Slack Encounter Digital Implementation System  Need structural Verilog, struct.sdc, library.lib, library.lef  make a new dir for edi...  <design>.conf is also very helpful  use UofU_soc.conf as starting point.  And Default.view  Usual warnings about scripting... UofU_edi.tcl is the generic script  .../local/class/6710/F13/cadence/EDI  Call with cad-edi  15

  16. cad-edi Flow 1. Import Design .v, .sdc, .lib, .lef – can put this in a file.conf and  Default.view 2. Power plan rings, stripes, row-routing (sroute)  3. Placement place cells in the rows  4. Timing optimization – preCTS cad-edi Flow 5. Synthesize clock tree use your buf or inv footprint cells  6. timing optimization – postCTS 7. global routing NanoRoute  8. timing optimization – postRoute 9. Add filler cells 10. Write out results .def, _soc.v, .spef, .sdc, .lef   16

  17. Design Import Using a conf file  Put the load information into a .conf file  Load it up without having to re-type  Also need a Default.view file  17

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend