a structured vhdl design method
play

A Structured VHDL Design Method Jiri Gaisler CTH / Gaisler Research - PowerPoint PPT Presentation

A Structured VHDL Design Method Jiri Gaisler CTH / Gaisler Research Outline of lecture Traditional 'ad-hoc' VHDL design style Proposed structured design method Various ways of increasing abstraction level in synthesisable


  1. A Structured VHDL Design Method Jiri Gaisler CTH / Gaisler Research

  2. � � � � Outline of lecture Traditional 'ad-hoc' VHDL design style Proposed structured design method Various ways of increasing abstraction level in synthesisable code A few design examples

  3. � � � � � � � � Traditional VHDL design methodology Based on heritage from schematic entry (!): Many small processes or concurrent statements Use of TTL-like macro blocks Use of GUI tools for code-generation Could be compared to schematic without wires (!) Hard to read due to many concurrent statements Auto-generated code even harder to read/maintain Hard to read = difficult to maintain

  4. � � � � � � � Traditional ad-hoc design style Many concurrent statments Many signal Few and small process statements No unified signal naming convention Coding is done at low RTL level: Assignments with logical expressions Only simple array data structures are used

  5. Simple VHDL example CbandDatat_LatchPROC9F: process(MDLE, CB_In, Reset_Out_N) begin if Reset_Out_N = '0' then CBLatch_F_1 <= "0000"; elsif MDLE = '1' then CBLatch_F_1 <= CB_In(3 downto 0); end if; end process; CBandDatat_LatchPROC10F: process(MDLE, CB_In, DParIO_In, Reset_Out_N) begin if Reset_Out_N = '0' then CBLatch_F_2 <= "0000"; elsif MDLE = '1' then CBLatch_F_2(6 downto 4) <= CB_In(6 downto 4); CBLatch_F_2(7) <= DParIO_In; end if; end process; CBLatch_F <= CBLatch_F_2 & CBLatch_F_1;

  6. � � � � � � � Problems Dataflow coding difficult to understand Algorithm difficult to understand No distinction between sequential and comb. signals Difficult to identify related signals Large port declarations in entity headers Slow execution due to many signals and processes The ad-hoc style does not scale

  7. � � � � � � � Ideal model characteristics We want our models to be: Easy to understand and maintain Synthesisable Simulate as fast as possible No simulation/synthesis discrepancies Usable for small and large designs New design style/method needed !

  8. � 1: abstraction of digital logic A synchronous design can be abstracted into two separate parts; a combinational and a sequential q Comb d q = f( d,q r ) DFF q r Clk

  9. ✁ ✁ ✁ ✁ ✁ ✁ 2: the abstracted view in VHDL The two-process scheme A VHDL entity is made to contain only two processes: one sequential and one combinational Inputs are denoted d, outputs q Two local signals are declared: register-in ( ri ) and register-out ( r ) The full algorithm (q = f(d, r ))is performed in the combinational process The combinational process is sensitive to all input ports and the register outputs r The sequential process is only sensitive to the clock

  10. Two-process VHDL entity Q Out-port Comb. Pro. In-ports q = f 1 (d,r) d Seq. ri ri = f 2 (d,r) Process r Clk

  11. ✁ ✁ ✁ ✁ ✁ ✁ Two-process scheme: data types The local signals r and rin are of composite type (record) and include all registered values All outputs are grouped into one entity-specific record type, declared in a global interface package Input ports can be of output record types from other entities All registers declared in a record type A local variable of the register record type is declared in the combinational processes to hold newly calculated values Additional variables of any type can be declared in the combinational process to hold temporary values

  12. Example use work.interface.all; begin entity irqctrl is port ( comb : process (sysif, r) clk : in std_logic; variable v : reg_type; rst : in std_logic; begin sysif : in sysif_type; v := r; v.irq := '0'; irqo : out irqctrl_type); for i in r.pend'range loop v.pend := r.pend(i) or end ; (sysif.irq(i) and r.mask(i)); architecture rtl of irqctrl is v.irq := v.irq or r.pend(i); end loop ; rin <= v; type reg_type is record irqo.irq <= r.irq; irq : std_logic; end process ; pend : std_logic_vector(0 to 7); mask : std_logic_vector(0 to 7); end record ; reg : process (clk) signal r, rin : reg_type; begin if rising_edge(clk) then r <= rin; end if ; end process ; end architecture ;

  13. ✂ Hierarchical design use work.interface.all; Grouping of signals makes entity cpu is port ( code readable and shows the clk : in std_logic; direction of the dataflow rst : in std_logic; mem_in : in mem_in_type; mem_out : out mem_out_type); end ; Clk, rst Proc architecture rtl of cpu is signal cache_out : cache_type; signal proc_out : proc_type; signal mctrl_out : mctrl_type; begin Cache u0 : proc port map (clk, rst, cache_out, proc_out); u1 : cache port map Mctrl (clk, rst, proc_out, mem_out cache_out); u2 : mctrl port map (clk, rst, cache_out, mem_in, mctrl_out, mem_out); end architecture ; Memory

  14. ✄ ✄ ✄ ✄ ✄ Benefits Sequential coding is well known and understood Algorithm easily extracted Uniform coding style simplifies maintenance Improved simulation and synthesis speed Development of models is less error-prone

  15. ✂ ✂ ✂ ✂ ✂ ✄ ✄ ✂ Adding an port Traditional method: Two-process method: Add port in entity port Add element in the interface declaration record Add port in sensitivity list of appropriate processes (input ports only) Add port in component declaration Add signal declaration in parent module(s) Add port map in component instantiation in parent module(s)

  16. ✂ ✄ ✂ ✂ ✄ ✂ ✂ Adding a register Traditional method: Two-process method: Add signal declaration (2 sig.) Add definition in register record Add registered signal in process sensitivity list (if not implicite) (Declare local variable) Add driving statement in clocked process

  17. ✂ ✂ ✂ ✄ ✄ ✂ ✂ ✂ Tracing signals during debugging Traditional method: Two-process method: Figure out which signals are Add interface records, r and rin registered, which are their inputs, Signals are grouped according to and how they are functionally function and easy to understand related Addition/deletion of record Add signals to trace file elements automatically Repeat every time a port or propagated to trace window register is added/deleted

  18. ✂ ✂ ✂ ✂ ✂ ✄ ✄ ✂ Stepping through code during debugging Traditional method: Two-process method: Connected processes do not Add a breakpoint in the begining execute sequentially due to delta of the combinational process signal delay Single-step through code to A breakpoint in every connected execute complete algorithm process needed Next signal value ( ri ) directly New signal value in concurrent visible in variable v processes not visible

  19. ✂ ✂ ✂ ✂ ✂ ✂ ✂ Complete algorithm can be a sub-program comb : process (sysif, r, rst) Allows re-use if placed in a variable v : reg_type; global package (e.g. EDAC) begin Can be verified quickly with proc_irqctl(sysif, r, v); local test-bench rin <= v; irqo.irq <= r.irq; Meiko FPU (20 Kgates): end process ; 1 entity, 2 processes 44 sub-programs 13 signal assignments Reverse-engineered from verilog: 87 entities, ~800 processes, ~2500 signals

  20. ✂ ✂ ✂ ✂ Sequential code and synthesis comb : process (sysif, r, rst) Most sequential statements variable v : reg_type; directly synthesisable by modern begin tools proc_irqctl(sysif, r, v); All variables have to be assigned if rst = '1' then to avoid latches v.irq := '0'; v.pend := (others => '0'); end if; Order of code matters! rin <= v; Avoid recursion, division, access irqo.irq <= r.irq; types, text/file IO. end process ;

  21. ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ ✂ Comparison MEC/LEON ERC32 memory contoller MEC LEON SPARC-V8 processor Ad-hoc method (15 designers) Two-process method (mostly) 25,000 lines of code 15,000 lines of code 45 entities, 800 processes 37 entities, 75 processes 2000 signals 300 signals 3000 signal assigments 800 signal assigments 30 Kgates, 10 man-years, 100 Kgates, 2 man-years, no numerous of bugs, 3 iterations bugs in first silicon

  22. ✂ ✂ ✂ ✂ ✂ ✂ ✂ ☎ ☎ Increasing the abstraction level Benefits Problems Easier to understand the Keep the code synthesisable underlying algorithm Synthesis tool might choose Easier to modify/maintain wrong gate-level structure Faster simulation Problems to understand algorithm for less skilled Use built-in module engineers generators (synthesis)

  23. ✆ ✆ ✆ ✆ Using records type reg1_type is record Useful to group related signals f1 : std_logic_vector(0 to 7); f2 : std_logic_vector(0 to 7); Nested records further improves f3 : std_logic_vector(0 to 7); readability end record ; type reg2_type is record Directly synthesisable x1 : std_logic_vector(0 to 3); x2 : std_logic_vector(0 to 3); Element name might be difficult x3 : std_logic_vector(0 to 3); to find in synthesised netlist end record ; type reg_type is record reg1 : reg1_type; reg2 : reg2_type; end record ; variable v : regtype; v.reg1.f3 := “0011001100”;

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend