a priori system level interconnect prediction
play

A Priori System-Level Interconnect Prediction Rents Rule and Wire - PowerPoint PPT Presentation

A Priori System-Level Interconnect Prediction Rents Rule and Wire Length Distribution Models Dirk Stroobandt Ghent University Electronics and Information Systems Department Tutorial at SLIP 2001 March 31, 2001 Outline Why a priori


  1. A Priori System-Level Interconnect Prediction Rent’s Rule and Wire Length Distribution Models Dirk Stroobandt Ghent University Electronics and Information Systems Department Tutorial at SLIP 2001 March 31, 2001

  2. Outline Why a priori interconnect prediction? Basic models Rent’s rule A priori wire length prediction Recent advances March 31, 2001 Dirk Stroobandt, SLIP 2001 2

  3. Outline Why a priori interconnect prediction? Basic models Rent’s rule A priori wire length prediction Recent advances March 31, 2001 Dirk Stroobandt, SLIP 2001 3

  4. Why A Priori Interconnect Prediction? • Interconnect : importance of wires increases (they do not scale as components). • A priori : • For future designs, very little is known. • The sooner information is available, the better. • A Priori Interconnect Prediction = estimating interconnect properties and their consequences before any layout step is performed. • Extrapolation to future systems: Roadmaps. • To improve CAD tools for design layout generation. • To evaluate new computer architectures. March 31, 2001 Dirk Stroobandt, SLIP 2001 4

  5. Why A Priori Interconnect Prediction? • Extrapolation to future systems: • Roadmaps. • GTX* et al. * A. Caldwell et al. “GTX: The MARCO GSRC Technology Extrapolation System.” IEEE/ACM DAC , pp. 693-698, 2000 (http://vlsicad.cs.ucla.edu/GSRC/GTX/). March 31, 2001 Dirk Stroobandt, SLIP 2001 5

  6. Why A Priori Interconnect Prediction? • To improve CAD tools for design layout generation. More efficient layout generation requires good wire length estimates. • layer assignment in routing • effects of vias, blockages • congestion, ... A priori estimates are rough but already provide a better solution through fewer design cycle iterations. March 31, 2001 Dirk Stroobandt, SLIP 2001 6

  7. Why A Priori Interconnect Prediction? To evaluate new computer architectures OIIC Project (http://www.elis.rug.ac.be/~jvc/oiic/sysdemo.htm) March 31, 2001 Dirk Stroobandt, SLIP 2001 7

  8. Goal: Predict Interconnect Requirements vs. Resource Availability March 31, 2001 Dirk Stroobandt, SLIP 2001 8

  9. Setting of SLIP Research Domain in the Design Process Circuit design Physical design Fabrication March 31, 2001 Dirk Stroobandt, SLIP 2001 9

  10. Components of the Physical Design Step Circuit Architecture Layout generation Layout March 31, 2001 Dirk Stroobandt, SLIP 2001 10

  11. The Three Basic Models Circuit model Model for the architecture Cell Pad Channel Logic block Manhattan grid Net using Manhattan metric Terminal / pin = − + − d | x x | | y y | 1 2 1 2 Placement and routing model March 31, 2001 Dirk Stroobandt, SLIP 2001 11

  12. Outline Why a priori interconnect prediction? Basic models Rent’s rule A priori wire length prediction Recent advances March 31, 2001 Dirk Stroobandt, SLIP 2001 12

  13. Rent’s Rule Rent’s rule was first described by Landman and Russo* in 1971. For average number of terminals and blocks per module in a partitioned design: T = t B p 100 p = Rent exponent T t ≅ average # term./block Measure for the complexity 10 of the interconnection topology Intrinsic Rent exponent p * average (simple) 0 ≤ p* ≤ 1 (complex) Rent’s rule 1 Normal values: 0.5 ≤ p* ≤ 0.75 1 10 100 1000 B * B. S. Landman and R. L. Russo. “On a pin versus block relationship for partitions of logic graphs.” IEEE Trans. on Comput ., C-20, pp. 1469-1479, 1971. March 31, 2001 Dirk Stroobandt, SLIP 2001 13

  14. Rent’s Rule (cont.) Rent’s rule is a result of the self-similarity within circuits Assumption: the complexity of the interconnection topology is equal at all levels. March 31, 2001 Dirk Stroobandt, SLIP 2001 14

  15. Rent’s Rule (other definition) (Dense) region: B cells, If ∆ B cells are added, what is the increase ∆ T ? T terminals In the absence of any other information we guess  T  ∆ = ∆   T B  B  Overestimate: many of ∆ T terminals connect to T ∆ T B terminals and so do not contribute to the total. ∆ B We introduce* a factor p ( p <1) which indicates how T self-connected the netlist is + placement optimization   T ∆ = ∆   T p B  B  Statistically homogenous Or, if ∆ B & ∆ T are small compared to B and T system   dT dB ≤ p ≤ p * 1 ≈ ⇒ = p   p T tB T  B  * P. Christie and D. Stroobandt. “The Interpretation and Application of Rent’s Rule.” IEEE Trans. on VLSI Systems, Special Issue on SLIP , vol. 8 (no. 6), pp. 639-648, Dec. 2000. March 31, 2001 Dirk Stroobandt, SLIP 2001 15

  16. Rent’s Rule (summary) T = t B p 100 Rent’s rule is experimentally validated for a lot of benchmarks. T Distinguish between: • p* : intrinsic Rent exponent 10 • p : placement Rent exponent • p’ : partitioning Rent exponent average Deviation for high B and T : Rent’s rule 1 Rent’s region II* 1 10 100 1000 Also: deviation for low B and T : B Rent region III** * B. S. Landman and R. L. Russo. “On a pin versus block relationship for partitions of logic graphs.” IEEE Trans. on Comput ., C-20, pp. 1469-1479, 1971. ** D. Stroobandt. “On an efficient method for estimating the interconnection complexity of designs and on the existence of region III in Rent’s rule.” Proc. GLSVLSI , pp. 330-331, 1999. March 31, 2001 Dirk Stroobandt, SLIP 2001 16

  17. Outline Why a priori interconnect prediction? Basic models Rent’s rule A priori wire length prediction Recent advances March 31, 2001 Dirk Stroobandt, SLIP 2001 17

  18. Donath’s* Hierarchical Placement Model 1. Partition the circuit into 4 modules of equal size such that Rent’s rule applies (minimal number of pins). 2. Partition the Manhattan grid in 4 subgrids of equal size in a symmetrical way. * W. E. Donath. Placement and Average Interconnection Lengths of Computer Logic. IEEE Trans. on Circuits & Syst ., vol. CAS-26, pp. 272-277, 1979. March 31, 2001 Dirk Stroobandt, SLIP 2001 18

  19. Donath’s Hierarchical Placement Model 3. Each subcircuit (module) is mapped to a subgrid. mapping 4. Repeat recursively until all logic blocks are assigned to exactly one grid cell in the Manhattan grid. March 31, 2001 Dirk Stroobandt, SLIP 2001 19

  20. Donath’s Length Estimation Model At each level: Rent’s rule gives number of connections • number of terminals per module directly from Rent’s rule (partitioning based Rent exponent p ’); • number of nets cut at level k ( N k ) equals = α N T k k where α depends on the total number of nets in the circuit and is bounded by 0.5 and 1. March 31, 2001 Dirk Stroobandt, SLIP 2001 20

  21. Donath’s Length Estimation Model Length of the connections at level k ? Adjacent ( A -) Diagonal ( D -) combination combination λ λ Donath assumes: all connection source and destination cells are uniformly distributed over the grid. March 31, 2001 Dirk Stroobandt, SLIP 2001 21

  22. Results Donath Scaling of the average 30 length L as a function of 25 the number of logic p = 0.7 20 blocks G : L 15  − > p = 0.5 p 0 . 5 10 G ( p 0 . 5 )  p = 0.3 ∝ = 5 L log( G ) ( p 0 . 5 )   0 < f ( p ) ( p 0 . 5 )  1 10 100 10 3 10 4 10 5 10 6 10 7 G Similar to measurements on placed designs. March 31, 2001 Dirk Stroobandt, SLIP 2001 22

  23. Results Donath 8 theory 7 experiment 6 5 L 4 3 2 1 0 10 100 1000 10000 G Theoretical average wire length too high by factor of 2 March 31, 2001 Dirk Stroobandt, SLIP 2001 23

  24. Improving on the Placement Optimization Model • Keep wire length scaling by hierarchical placement. • Improve on uniform probability for all connections at one level (not a good model for placement optimization). Occupation probability* favours short interconnections (for placement optimization) (darker) * D. Stroobandt and J. Van Campenhout. Accurate Interconnection Length Estimations for Pre- dictions Early in the Design Cycle. VLSI Design, Spec. Iss. on PD in DSM , 10 (1): 1-20, 1999. March 31, 2001 Dirk Stroobandt, SLIP 2001 24

  25. Including Placement Optimization Wirelength distributions contain two parts: site density function and probability distribution all possibilities probability of occurrence requires enumeration shorter wires more probable (use generating polynomials*) = N ( l ) K D ( l ) q ( l ) * D. Stroobandt and H. Van Marck. “Efficient Representation of Interconnection Length Distributions Using Generating Polynomials.” Workshop SLIP 2000 , pp. 99-105, 2000. March 31, 2001 Dirk Stroobandt, SLIP 2001 25

  26. Occupation Probability Function Local distributions at each level have similar Number of D l connections shapes (self-similarity) ⇒ peak values scale. P 0 Integral of local distributions equals number of L 0,l connections. Global distribution follows peaks. P 1 L 1,l P 2 L 2,l 1000 Experiment 2 4 6 8 10 12 14 16 Length l L p,0 L p,1 L p,2 Theory 100 From this we can deduct that ∝ − 2 p 3 N ( l ) l 10 ∝ D ( l ) l For short lengths: N ( l ) 1 − 1 10 100 ⇒ ∝ ∝ 2 p 4 q ( l ) l Wire length D ( l ) March 31, 2001 Dirk Stroobandt, SLIP 2001 26

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend