will silicon proof stay the only way to verify analog
play

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? - PowerPoint PPT Presentation

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog . Embedded analog Embedded RF 28nm FDSOI 0.18um 65nm 0.5 um 0.25um 45nm 0.13um 1997 2001 2005 2009 2013


  1. Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin

  2. Advanced CMOS and analog …. Embedded analog Embedded RF 28nm FDSOI 0.18um 65nm 0.5 um 0.25um 45nm 0.13um 1997 2001 2005 2009 2013 2 Proprietary Information

  3. System On Chip (SOC) contents 3 MIPI PHY PLL • SoC made of : • Array of standard cells DDR • Hard IP. PHY Memories MIPI • Hard IP include : Standard PHY Cells • Fundation blocks such as Memories, Standard Cells and IO • Complex mixed signal IP such as High Speed Serial and Parallel Interface. PLL DDR PHY

  4. IP development challenges 4 Foundation Analog & Mixed Libraries signal IPs SoC Design Digital Logic Clock Cells Generators IOs Data Foundation IP Mixed Signal IP & Fuse Converters Embedded Interfaces Memories PHY Silicon Technologies Testability & RF & Power Repair Solutions Management IPs From AMS IP perspective, SoC Silicon success relies on : • Agility to design IP (specs refinements, productivity, robustness,…) • Matching between hard IP model and electrical characteristics • Accuracy of models representing IP (stdcells, memories, hard IP,…) in digital flow • Predictability of electrical characteristics Analog & RF design Flow - Marketing 2/25/2014

  5. Full Custom Flow Ecosystem 5 PDK • Libraries Process Flow • PCells • Design Rules • Flow Definition • Rule decks • Lithography • Scripting • Stacks • Spice models • Qualification • Reliability Infrastructure EDA Vendors • Deployment • Collaboration • Documentation • Co-developments Designers • Trainings • Flow definition • Support • Early adopters • Testchips Analog & RF design Flow - Marketing 2/25/2014

  6. Analog RF IP Design Flow - Summary 6 StdCells RTL Block Schematic Schematic Design Kit description IO Analog Flow Top level Simulation Digital Flow Simulation Co-simulation Optimization Fast spice Layout Top Implementation Packaging Packaging Floorplanning Routing Chip Assembly Symbol Symbol schematic GDS2 CDL netlist Symbol Generation Layout Layout Abstract Generation abstract abstract Verification

  7. Analog design basic assumptions Full custom design axiom You get what you simulate • Analog designer will always concentrate on schematic improvement… • Simulation predictability remains the fundamental of full custom design! 7

  8. But…. Is full custom designer confident enough to commit on First Time Silicon Success? No ! 8

  9. Digital world : going further in Project Automation 9 Dashboard Hardware & Software Data management Design decisions Compute farm Libraries / IPs Analytics Execution Storage Design Flow Reporting Database CAD Tools Scripts Defect management management Integrated design management

  10. Programming language or symbolic representation of hard macro 10 Hierarchical view with macro function Synthesis based on Standard Cell libraries and Memory model EDA tools have enabled SoC design hidding technology complexity by high Automatic level of abstraction and use of macro Layout models. generation Binary coding and Bolean description were key ingredients. Digital world : programming language and scripts

  11. Full custom world… a device world 11 From schematic to basic layout device Full custom design relies on a very large database of proven schematics which Transistor level are adapted to Si assembly and process specificities. connection routing Key enablers for fast Back annotation : Addition of parasitics to and predictable schematic solutions are model predictibility and simulator speed. Extraction of parasitic and layout effects

  12. Snapshot of Si experience in 28nm node IP Type Problem Description Product Impact Blocking, functionnality issue IP not working at nominal value but below vdd min FUSE Relability issue FUSE Degradation of bit reading efficiency DFT issue High Speed Interface High Speed Link Loopback failures Performance limitation Skew between input signals leading to high BER High Speed Interface Performance limitation IO Very high loading leads to high transient consumption and bump on the supply Performance limitation Input Leakage around +200uA observed at IO pins where internal pull-up/pull-down is enabled IO causing external on board pull-up or pull-down not to work Blocking, functionnality issue IO IO Compensation block out of spec Performance limitation If complementary input is applied at two channels of ADC then the performance of input-2 is ADC degrading. Blocking, functionnality issue ADC With active high impedance input of channel-I of DAC, the output of channel-Q is also in high impedance mode. Blocking, functionnality issue DDR DFI Init start not working Performance limitation DDR Non functional behaviour at low fequency Performance limitation Power switch not working in certain power supply configuration Power Management Performance limitation Power Management Internal voltage reference out of spec Performance limitation Output voltage limitation Power Management • Performance limitation is major consequence of non performing AMS IP • Missing functionality still remains a major issue 12

  13. Root cause analysis IP Type Root cause analysis FUSE Functional simulation coverage FUSE Fab dependence, sense amplifier sensitivity High Speed Interface Functional simulation coverage High Speed Interface User specification definition IO Use case not covered IO Use case not covered IO IR drop ADC Cross talk ADC Functional simulation coverage DDR Functional simulation coverage DDR Use case not covered Power Management Use case not covered Power Management Electromigration Power Management Connection through well • Specification definition and use case description is the main root cause of failure. • Functional and performance simulation coverage is consquently the second cause of failure 13

  14. AMS-IP Life cycle 14 Advanced Node constraints � Tolerant to process variation (electrical & physical) during development phase Compliance � Electrical specs Design � Functional specs level � Manufacturing Usage Delivery level level Abstraction Implementation in apps � Performance models � Physical � Functional model � Functional � Immunity w.r.t. neighborhood

  15. AMS IP Verification - Challenges 15 Functional Specs Test Monitor Generation Environment Variability Test AMS IP (Random) Output Benchs Manufacturing variability (Random) Specification definition Tests definitions / execution Block abstraction Results analysis & Coverage

  16. Pb0 : Functional specs definition 16 Functional Specification Digital System RF Architectural D SoC or SiP A(MS) Interface Modelization SystemC Sub-system SystemC-AMS VHDL, Verilog, ... Implementation Sub-circuit VHDL-AMS - - Automatic Synthesis IP level aIP Synthesis Synthesis Need to change cultural approach for analog design community : • Move from “bottom-up” approach to “top-down” approach • Develop “user friendly” tools

  17. AMS IP Verification - Challenges 17 Functional Specs Test Monitor Generation Environment Variability Test AMS IP (Random) Output Benchs Manufacturing variability (Random) Tests definitions / execution

  18. Pb1 : Tests definitions / execution 18 Geometric Require geometry / � Manufacturability connectivity Functional Dynamic Specs � Timing / power � Variability : output spread Test � Sensitivity to manufacturing & Generation + Plus input vector & costly simulations environment � Thermal behavior Environment � Random effects (jitter,…) Variability Test (Random) Benchs Static � Power domain compliance + Usage information / � ESD compliance context Need to build theories/methodologies to : • Transfer functional specifications into test benches and stimuli • To emulate fault vector/benches to cover unexpected events

  19. Pb 2 : Simulation time and models 19 Functional Specs Test vector and test benches usage : Test Generation • Netlist simulation are often inadequate to Environment cover the full set of test benches and stimuli Variability Test (Random) Benches • Higher level of abstraction is requested to be able to insure sufficient test coverage Netlist Models

  20. Pb2 : block abstraction 20 � Purpose of the model : timing , power, thermal, functional,… � Validity domain: certification & check � Functional / Failure mode � Process / Environment variability Manual Automation ? Transistor Behavioral schematic model Manufacturing variability (Random) At which confidence level can we guarantee the equivalence between the behavioral model and the transistor view ?

  21. Pb3 : Check and monitor 21 � Dynamic checks during simulation: � Reliability checks / Operating regions Functional Specs � Formal waveform analysis Test bench � Comparison with specifications Monitor generation � Lack of standard language Output AMS IP � Adoption by EDA industry (market share?) DB � Reluctance to change by design community ☺ Advanced process require more and more reliability checks � Design community ask more formalism for verification Standardization of pass/fail criteria in ad equation with functionnal and performances specification and test vector generation Analog VIP development for standard based AMS IP (USB, HDMI, PCIe,…)

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend