challenges regarding ip core functional reliability
play

Challenges Regarding IP Core Functional Reliability. Melanie Berg 1 - PowerPoint PPT Presentation

Challenges Regarding IP Core Functional Reliability. Melanie Berg 1 , Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov 1 To be presented by Melanie Berg at the Microelectronics


  1. Challenges Regarding IP Core Functional Reliability. Melanie Berg 1 , Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov 1 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  2. Acronyms • 10 gigabit attachment unit (XAUI XGS) • High Speed Bus Interface (PS-GTR) • Probability of transient propagation (Pprop) • Advanced Encryption Standard (AES) • Input – output (I/O) • Processor (PC) • Advanced extensible Interface (AXI) • Intellectual Property (IP) • Radiation Effects and Analysis Group (REAG) • Advanced High-performance Bus (AHB) • Inter-Integrated Circuit (I2C) • Radiation Tolerant (RT) • Agile Mixed Signal (AMS) • Internal configuration access port (ICAP) • Secondary Control Unit (SCU) • ARM Holdings Public Limited Company (ARM) • Joint test action group (JTAG) • Secure Digital (SD) • Block random access memory (BRAM) • Lightwatt High Pressure Sodium (LW HPS) • Secure Digital embedded MultiMediaCard (SD/eMMC) • Block triple modular redundancy (BTMR) • Linear energy transfer (LET) • Secure Digital Input/Output (SDIO) • Built-in-self-test (BIST) • Local triple modular redundancy (LTMR) • Serial Advanced Technology Attachment (SATA) • Cache Coherent Interconnect (CCI) • Look up table (LUT) • Serial Peripheral Interface (SPI) • Combinatorial logic (CL) • Low Power (LP) • Serial Quad Input/Output (QSPI) • Commercial off the shelf (COTS) • Low-Voltage Differential Signaling (LVDS) • Serializer/deserializer (Serdes EPCS) • Complementary metal-oxide semiconductor • Memory Management Unit (MMU) (CMOS) • Single event functional interrupt (SEFI) • Microprocessor (MP) • Computer aided design (CAD) • Single event latch-up (SEL) • Multi-die Interconnect Bridge (EMIB) • Controller Area Network (CAN) • Single event transient (SET) • MultiMediaCard (MMC) • Device under test (DUT) • Single event upset (SEU) • Multiport Front-End (MPFE) • Single event upset cross-section ( σ SEU) • Digital Signal Processing (DSP) • Not OR logic gate (NOR) • Direct Memory Access (DMA) • Spatial-Division-Multiplexing (SDM) • Operational frequency (fs) • Distributed triple modular redundancy (DTMR) • Static random access memory (SRAM) • Oscillator (RC OSC) • Double Data Rate (DDR3 = Generation 3; DDR4 = • System Memory Management Unit (SMMU) • Peripheral Component Interconnect Express Generation 4) (PCIe) • System on a chip (SOC) • Edge-triggered flip-flops (DFFs) • Personal Computer (PC) • Transceiver Type (GTH/GTY) • Equipment Monitor And Control (EMAC) • Transient width ( τ width) • Phase locked loop (PLL) • Error-Correcting Code (ECC) • Phase Locked Loop (PLL) • Triple modular redundancy (TMR) • Field programmable gate array (FPGA) • Physical layer (PHY) • Universal Asynchronous Receiver/Transmitter • Floating Point Unit (FPU) (UART) • Physical medium attachment sub-layer (PMA) • General purpose input/output (GPIO) • Universal synchronous Receiver/Transmitter • Power on reset (POR) (USRT) • Global Industry Classification (GIC) • Probability of flip-flop upset (PDFFSEU) • Universal Serial Bus (USB) • Global triple modular redundancy (GTMR) • Probability of logic masking (Plogic) • Universal Serial Bus On-the-go (USB OTG) • Hardware description language (HDL) • Probability of transient generation (Pgen) • Watchdog Timer (WDT) • High Performance Input/Output (HPIO) • Windowed Shift Register (WSR) • High Pressure Sodium (HPS) 2 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  3. Problem Statement • For many years, intellectual property (IP) cores have been incorporated into field programmable gate array (FPGA) and application specific integrated circuit (ASIC) design flows. • However, the usage of large complex IP cores were limited within products that required a high level of reliability. • This is no longer the case. IP core insertion has become mainstream …including their use in highly reliable products. • Due to limited visibility and control, challenges exist when using IP cores and subsequently compromise product reliability. 3 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  4. IP Core Terminology Regarding FPGA Insertion • IP cores are blocks of logic elements: – Reduce Time-to-Market. – Eliminate Design Risks. – Reduce Development Costs. • IP cores can be “Soft” or “Hard.” – Terminology has nothing to do with radiation susceptibility. – Soft Core: IP logic blocks are implemented in the system programmable logic area (user area). They are generally flexible in order to meet user needs. – Hard Core: IP logic are embedded in the FPGA device. They have limited flexibility or none at all. 4 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  5. Microsemi RTG4 FPGA and Its Embedded IP Cores Figure does not show user programmable logic area. Multi-Standard GPIO Figure is courtesy of Microsemi 5 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  6. Soft IP Core Insertion Flow HDL Hardware description language Select or Buy IP Core Insert IP core into FPGA Soft IP can can in the form of programmable logic HDL or gate-level-netlists. Synthesize Design to produce gate-level-netlist Create Configuration File Place and route gate- level-netlist 6 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  7. Pros of IP Core Insertion • IP Cores are very easy to use. CAD computer aided design • As an example, a computer system can be designed in minutes by simply pressing buttons within a CAD tool. • Students are graduating with IP core insertion experience. • Design development costs less: – Lots of complexity with very little effort. – Design cycle time. – Reusability reduces verification effort (???????) – Employees require less expertise, hence less of a paycheck. For complex, critical applications, the assumptions that IP cores will cost less can be a myth. 7 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  8. Cons of IP Core Insertion in Critical Applications • IP Cores have limited visibility: – Difficult to verify and manipulate. – Design might not follow proper design rule protocol (but you will not know). • If mitigation is required, it can be compromised. Design development costs less???: • – Design cycle time can be elongated because selected user mode is not mainstream. Never used/tested before. • Reusability can be compromised: – Once an IP is custom configured, it is no longer “reusable logic.” For critical application standards, verification effort is increased. – Once an IP is inserted into a unique design it is no longer “reusable logic.” For critical application standards, verification effort is increased. 8 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  9. Challenges: IP Core Insertion in Critical Applications • Beware – pushing a button on a CAD tool can be misleading. • Does the core follow proper synchronous design methodology? • How has the design been vetted and verified prior to your usage? • Research must be performed in order to understand if the IP can reliably be inserted into your design: – Timing characteristics – can the IP perform at the missions specified speed? – Can the IP core fit into the device with all other necessary logic? – Are the I/O of the IP compatible with your device or the other components you have in your device? – Does the IP require mitigation? 9 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  10. Challenges: IP Core Verification in Critical Applications • Design reviews require design to be parsed by a team of specialists. – Some IP cores are so complex, they are close to impossible to parse. – Some IP cores are in gate-netlist form instead of HDL. They are also close to impossible to parse. – Some IP cores are locked and cannot be viewed by any individual. • Although datasheets are available, users will rely on IP core models and blind testing. • Point is, because of limited visibility and complexity, IP are hard to verify. • Enhanced verification techniques exist but still have limitations regarding black box (like) IP. 10 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

  11. IP Core Mitigation in Critical Applications: Dual Redundancy (DR) and Triple Modular Redundancy (TMR) DR 1 Compare T T T M M M R R R DR 0 0 1 2 Stop, investigate, note limitations Voter before pushing that CAD BUTTON!!!!!! 11 To be presented by Melanie Berg at the Microelectronics Reliability & Qualification Working Meeting (MRQW), El Segundo, CA February 7-8, 2017

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend