umbc
play

UMBC A B M A L T F O U M B C I M Y O R T 1 - PowerPoint PPT Presentation

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently


  1. VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite of this however. A 1 Z N 1 C Modulo-3 A 2 counter N 2 O 1 R Q 1 A 3 N 3 P 1 A 4 O 2 N 4 A 5 Q 2 P 2 FF2 FF1 L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 1 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  2. VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting Directed acyclic graph (DAG) path graph for this circuit: A 1 Z 2 C N 1 2 1 1 A 2 O 1 4 R N 2 8 1 1 Q 1 A 3 1 18 P 1 8 N 3 4 sink source 1 1 A 4 O 2 N 4 P 2 4 8 Q 2 1 1 A 5 8 4 Vertices represent the PIs, POs and gates, edges represent signal flow. Source and sink nodes are added to point to PIs and POs, algorithm visits each node, follows its edges and adds src value to destination nodes. Since the maximum indegree is O(N), worst case complexity is O(N 2 ). L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 2 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  3. VLSI Design Verification and Test Delay Faults II CMPE 646 Transition Fault Model Faults are modeled at the gate I/Os as slow-to-rise (STR) and slow-to-fall (STF) faults that elicit Stuck-At type fault behavior at the POs. For detection of a slow-to-rise fault, start with a SA0 fault on the line. • This sets the line to 1 and propagates the state of the line to a PO. • Let this be vector V 2 then define V 1 as a vector that sets the line to 0. Advantages include: • Number of tests is upper bounded by twice the number of lines. • Stuck-at ATPG algorithms can be easily modified to produce these tests. Transition fault tests can detect large (gross) delays. Tested paths may be short. They are not reliable at detecting delay defects that are distributed , unlike PDF. Transition fault tests are usually augmented by critical path delay tests. L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 3 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  4. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies The application of delay tests depends on the type of circuit and the DFT hardware used. • Slow-clock combinational test • Enhanced-scan test • Normal-scan sequential test • Variable-clock non-scan sequential test • Rated-clock non-scan sequential test Slow-clock combinational test Rated clk period TClk PIs POs input output Combo latches latches circuit output V 1 V 2 latched Input test Output test Only applicable clock to this arch. clock Note that V 1 is applied at a slower rate and the circuit is allowed to stabi- lize. L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 4 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  5. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies Enhanced scan test Applicable to scan types of sequential circuits. Similar to the previous method, any arbitrary vector pair can be applied and test generation can treat the circuit as combinational. PIs POs Combinational circuit Scan out HL SFF HL SFF Scan in Hold ClkTC (Test Control) Each vector consists of two parts, bits for the PIs and bits for the state variables (SFFs). State bits are scanned in by setting TC to 0 and applying Clk. L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 5 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  6. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies Enhanced scan test (cont.) The bits are often scanned in using a slow clock to reduce power con- sumption and the chance of errors occurring due to scan chain delays. The scanned V 1 bits are transferred to the Hold Latches (HL) and the PI bits of V 1 are applied. When V 1 stabilizes, the state bits of V 2 are scanned in. Activation of the Hold signal and application of the V 2 bits to the PIs cre- ates the V 1 -> V 2 transition. With TC = 1, Clk is used to latch the outputs in normal mode . Clk V 1 applied V 2 applied HOLD Result latched Normal V 1 settles TC Scan mode Test result scanout V 1 scanin V 2 scanin L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 6 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  7. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies Enhanced scan test (cont.) Scan test time similar to full scan design but scan area overhead is larger and Hold Latches increase delay in signal paths. Normal-scan sequential test It is still possible to test full scan circuits with no Hold Latches for delay faults. However, it requires special vector-pairs. POs PIs Combinational V 2 applied Outputs latched circuit Test result Generate scanout V 1 scanin V 2 Scan out Rated Slow Clk Slow Clk SFF Clk SFF Scan-shift delay test or Scan in Skewed-load delay test Clk TC (Test Control) L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 7 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  8. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies Normal-scan sequential test Launch-on-shift (LOS) or scan-shift delay test : Scan in of V 1 is followed by one extra cycle of slow clock with the circuit still in scan mode (TC = 0). The test is designed so that V 2 is obtained from V 1 by a 1 bit translation (PI bits of both vectors are unrestricted). As soon as V 2 is applied, mode is changed from scan to normal and Clk is controlled at the rated period to latch outputs. POs PIs V 2 by scan shift scan mode Combinational circuit LOS Alternatively Scan out V 2 SFF normal mode functionally derived scan mode SFF Scan in Broad-side delay test or LOC Clk TC (Test Control) L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 8 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  9. VLSI Design Verification and Test Delay Faults II CMPE 646 Delay Test Methodologies Normal-scan sequential test Launch-on-capture (LOC) or broad-side delay test, the state portion (FF val- ues) of V 2 are functionally generated by the combo logic under V 1 . Simultaneous application of V 2 at the PIs and into the FFs via Clk in nor- mal mode generates the V 1 -> V 2 transitions. The outputs are latched one rated clock period later. Disadvantages: For LOS, scan-enable must switch at rated speed of clk. For LOC, correlations between V 1 and V 2 may not allow high fault cov- erage. See text for Variable-clock non-scan sequential test and rated-clock non-scan sequential test L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 9 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  10. VLSI Design Verification and Test Delay Faults II CMPE 646 Practical Considerations in Delay Testing Today, verification requires both function and timing analysis. Static timing analysis examines combinational paths without regard to sensiti- zation (delays of gates and wires are looked up in a database). Results of timing analysis used to improve the design and test: • Timing simulation : Identified critical paths are simulated and the design is "tweeked" to make sure it meets the timing specification. • Critical path tests : Critical path delay determines the clock period, and therefore tests are usually included to test such paths. • Layout optimization : Critical path data is used for std. cell/custom block placement, to establish priorities in routing and for transistor sizing. L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 10 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

  11. VLSI Design Verification and Test Delay Faults II CMPE 646 Practical Considerations in Delay Testing Critical path tests are good at detecting "correlated defects", i.e., slow-downs due to global process variations, because the longest paths will fail first. Spot defects (or gross defects) affect only a small number of paths in the chip. Transition fault tests are capable of detecting these gross delay defects. Two forms of at-speed testing: • External: The combination of critical path testing and transition fault testing pro- vides adequate at-speed testing. • Built-in self-test: Since the at-speed ATE is expensive, BIST is an alternative. On-chip hardware is needed for test generation and response analysis. The speed of BIST is controlled by the off-chip clock. L A N R Y D UMBC A B M A L T F O U M B C I M Y O R T 11 (10/29/07) I E S R C E O V U I N N U T Y 1 6 9 6

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend