modeling soft error propagation in programs
play

Modeling Soft-Error Propagation in Programs Siva Hari Guanpeng - PowerPoint PPT Presentation

Modeling Soft-Error Propagation in Programs Siva Hari Guanpeng (Justin) Li Michael Sullivan Karthik Pattabiraman Timothy Tsai Motivation: Soft Errors [1] = 0001 = 0101 Soft errors becoming more common in processors 2 [1]


  1. Modeling Soft-Error Propagation in Programs Siva Hari Guanpeng (Justin) Li Michael Sullivan Karthik Pattabiraman Timothy Tsai

  2. Motivation: Soft Errors [1] = 0001 = 0101 Soft errors becoming more common in processors 2 [1] http://aviral.lab.asu.edu/soft-error-resilience/

  3. Silent Data Corruption (SDC) Amazon S3 Incident Incorrect Output SDC Fault Crash Exceptions, No Output Benign Correct Output Normal Execution Error Propagation 3

  4. Software Solutions Software protection techniques are more flexible and cost-effective! Application Level Protection Overhead Increasing Operating System Level Architectural Level Device/Circuit Level Soft Error Impactful Errors 4

  5. A Knapsack Problem Selective Instruction Duplication Instruction: SDC Rate = X% Overhead = Y% Selected Instructions for Given Target SDC Coverage “The Golden Curve” SDC Coverage Application Specific! Instruction Sequence Instruction Duplication Protection Overhead 5 *Measured in Libquantum, SPEC

  6. Developing Fault-Tolerant Applications Measure Instruction SDC Rates 1. Thousands of fault injections need to be done Development of Application Evaluate Program SDC Rate 2. Repeat every time code is modified Acceptable Selective Protection New Release 6

  7. Our Goal Fast prediction of SDC SymPLFIED/ without fault injection! Relyzer/ GangES [DSN’08, ASPLOS’12, ISCA’14] Accuracy AVF/ PVF/ ePVF [MICRO’03, HPCA’10, DSN’16] Speed No existing technique models error propagation Estimating SDC Rate in both fast and accurate way! 8

  8. Challenges • Tracking SDC propagation is hard • Over billions of executed instructions • Every instruction may propagate errors with different probabilities BR • Dynamic nature of program execution T F • Control-flow divergence … … … … … … … … … … … … 8 Corrupting subsequent states

  9. Trident: Key Insight • Error propagations can be decomposed into modules, which can be abstracted into probabilistic events • Decomposition • Abstraction 9

  10. Trident: Workflow Insn. for Prediction Source Code Insn. SDC Rates Program Input Profiling Prediction Overall SDC Rate Output Insn. 10

  11. BB4 Trident: Our Approach $2 = LOAD 0x04 $3 = ADD $2, 4 CMP $4, $3, 4 • Three-level modeling BR $4, BB5, BB10 • Register-communication T1 F1 BB10 BB5 • Control-flow … … $5 = MUL $6, 16 T2 F2 • Memory dependency … … BB12 BB11 STORE …, 0x08 … … f S Reg. … … BB102 Mem. Contl. ... = LOAD 0x08 f C f M 11

  12. BB4 Trident: Register Commn. $2 = LOAD 0x04 <100%> Propagation $3 = ADD $2, 4 <100%> probability CMP $4, $3, 4 within BB4 ? <25%> BR $4, BB5, BB10 <100%> T1 F1 f s = 100% * 100% * 25% * 100% = 25% BB10 BB5 … … $5 = MUL $6, 16 T2 F2 … … BB12 BB11 STORE …, 0x08 … … f S Reg. Reg. … … BB102 Mem. Contl. ... = LOAD 0x08 f C f M 12

  13. BB4 Trident: Control-Flow $2 = LOAD 0x04 <100%> $3 = ADD $2, 4 <100%> CMP $4, $3, 4 f C <25%> = Corrupted BR $4, BB5, BB10 <100%> T1 F1 STORE exec. prob. 80% 20% BR dom. prob. F1*T2 BB10 F1 BB5 … … $5 = MUL $6, 16 T2 F2 30% 70% … … *For non-loop-terminating branches BB12 BB11 Corruption STORE …, 0x08 … … probability f S Reg. of STORE ? … … BB102 Mem. Contl. Contl. ... = LOAD 0x08 f C f M 13

  14. BB4 Trident: Memory-Dependency $2 = LOAD 0x04 <100%> $3 = ADD $2, 4 <100%> CMP $4, $3, 4 <25%> BR $4, BB5, BB10 <100%> P(I n ) = f S (I n )* f C (I n2 )* f S (I n3 )* f C (I n4 ) … … T1 F1 80% 20% BB10 BB5 … … $5 = MUL $6, 16 * n corresponds to the index of dynamic instructions T2 F2 30% 70% … … BB12 BB11 STORE …, 0x08 … … f S Reg. … … Dependent LOAD & STORE BB102 Mem. Mem. Contl. ... = LOAD 0x08 f C f M 14

  15. Experimental Setup • Comparison with fault injection • Accuracy • Speed (wall clock time) • Fault Model • Single bit-flip injections – accurate [DSN’17] • Random insn. – one per program execution Benchmark Application Domains • Benchmarks • 11 open-source benchmarks from various domains 15

  16. Experimental Methodology Reminder : Created two simpler models • Goal is to predict SDC rate as per fault injection Accuracy of each sub-model • As proxy to prior work • Baseline: Fault injection derived by LLFI [1] • The closer SDC rate to fault injection, the better prediction • Reg. Reg. Mem. Mem. Contl. Contl. [1] LLVM Fault Injector [DSN’14] f S f S +f C 16 Two Simpler Models for Comparison

  17. Evaluation: Accuracy Program SDC Rate; 3,000 Sampled Instructions; Error Bar: +/-0.07% ~ +/-1.76% at 95% Confidence Interval Trident is close to fault injection results, and significantly better than the simpler models! • Mean Absolute Error • Trident: 4.75% 3,000 randomly sampled • Simpler Models: 15.13% and 19.13% instructions for fault injection • t-Test on Individual Instructions and the models • Trident: 8 out of 11 are statistically indistinguishable • Simpler Models ( f S and f S +f C ): Only 2 and 4 17

  18. Evaluation: Speed Wall-Clock Time of Estimating Program SDC Rate • Program’s Overall SDC Rate: • 6.7x faster at 3,000 samples • Per-Instruction SDC Rate: • On average, 380x faster at 100 samples per instruction • Benchmarks: FI takes nearly 100 hours whereas Trident takes <20 mins Trident is faster than fault injection by 2 orders of magnitude! 18

  19. Use Case: Selective Instruction Duplication “The Golden Curve” Recap : By Fault Injections By Trident SDC Coverage By f S +f C By f S Selective Instruction Duplication Protection Overhead 19 *Measured in Libquantum, SPEC

  20. Extension • Understand how error propagation is affected by multiple inputs • Extension for bounding SDC rate with multiple inputs Session 6: Modeling and Verification Wednesday, June 27 th “Modeling Input-Dependent Error Propagation in Programs” 20

  21. Summary • Fault injections are too slow to integrate into software development cycle • Trident is both accurate and fast in predicting SDC rates • Can guide selective protection of instructions in programs – comparable to fault injection in accuracy for fraction of cost • Open Source: https://github.com/DependableSystemsLab/Trident Guanpeng (Justin) Li University of British Columbia (UBC) gpli@ece.ubc.ca 21

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend