impact of materials prices on cost of
play

Impact of Materials Prices on Cost of PV Manufacture Part I - PowerPoint PPT Presentation

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013 content Brief introduction to Solar PV Technologies Part I - Crystalline Silicon Case Study 1 - Silicon


  1. Impact of Materials Prices on Cost of PV Manufacture – Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013

  2. content Brief introduction to Solar PV Technologies Part I - Crystalline Silicon Case Study 1 - Silicon Silicon production, consumption, price, cost reduction and impact Case Study 2 - Silver Usage in PV, silver demand & price, alternative technology Part 2 - Thin Film to be resented by Chiara Candelise Conclusions Candelise & Mason / SMEET II 27Feb13 2

  3. technology platforms flat-plate sun-tracking concentrator Crystalline Silicon Thin Film Emerging DSC a-Si / c-Si mono-crystalline Polymer OPV CdTe multi-crystalline SM-OPV CIGS silicon ribbon Candelise & Mason / SMEET II 27Feb13 3

  4. technology status Wafer-Based Silicon Mono c-Si Multi c-Si Best lab cell efficiency 25% 20% Commercial module 15-20% 14-17% Thin Film a-Si/ c-Si CdTe CIS/CIGS Best lab cell efficiency 13% 19% 20% Commercial module 7-10% 9-13% 10-14% Emerging DSC Polymer SM-OPV Best lab cell efficiency 12% 11% 12% Today’s module eff. 3-5% 3-5% - Candelise & Mason / SMEET II 27Feb13 4

  5. market share & trend 88% of PV production (2011) is wafer-based crystalline silicon technology (c-Si) Market share of c-Si technology >80% for past 13 years Output by 100% a-Si Technology (2011) 80% CIS market Share CdTe 60% CdTe multi-Si 6% 57% ribbon-Si 40% CIS 2% multi-Si 20% mono-Si 31% TF-Si mono-Si 0% 3% 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 Source: Photon International Candelise & Mason / SMEET II 27Feb13 5

  6. c-Si PV value chain MG Si Polysilicon Crystal Wafer Cell Module Candelise & Mason / SMEET II 27Feb13 6

  7. Case Study 1 – Silicon polysilicon production Metallurgical Siemens process for semiconductor grade Grade Si Si (polysilicon) Carbo-thermic Fractional Reduction in H 2 reduction of Si + HCl = SiHCl 3 distillation SiHCl 3 to Si quartz ~$20/kg cost ~$2/kg 300,000 MT/year Candelise & Mason / SMEET II 27Feb13 7

  8. silicon price PV share of polysilicon output PV electronics Pre-2005 PV industry used scrap Si 6% 8% from semiconductor industry at 12% 20% 32% 42% 45% price ~$20/kg 58% 94% Around 2005 PV consumption of 92% 88% 80% 68% 58% polysilicon matched semiconductor 55% 42% industry where price ~$50/kg 2005 2006 2007 2008 2009 2010 2011 2012 Rapid growth in PV 2005-2008 exceeded supply and spot price Solar-grade silicon price trend 200 increased to $500/kg at peak polysilicon price ($/kg) 150 Subsequent expansion in polysilicon production has resulted in excess 100 supply and price fall to ~$20/kg 50 0 Candelise & Mason / SMEET II 27Feb13 8

  9. PV cost reduction Source: IRENA Renewable Energy Technologies: Cost Analysis, Solar PV 2012 The actions The outcome Before the Si price spike the PV modules cost was ~$4/Wp of which ~$1/Wp was the silicon cost The industry response was to reduce Si consumption from 15 g/Wp to 5 g/Wp by • Thinner wafers * • Reduced kerf loss • Higher yields • Increased recycling • Higher efficiency Temporary increase in module price 2005-2010 At the same time the polysilicon Silicon cost in PV module reduced from $1.00 to industry significantly increased $0.20/Wp capacity (3-year lead-time) and c-Si remains cost-leading technology * Spot price for c-Si module in Germany week 8/2013 was € 0.53/Wp ($0.70/Wp) Candelise & Mason / SMEET II 27Feb13 9

  10. Case Study 2 – Silver used for electrodes (contacts) Electrical contacts are made to the top and bottom surfaces of the silicon cell These contacts are used to interconnect cells in a module and carry current to external circuit Silver-loaded paste is screen printed on the silicon and heated to 780°C to form Ohmic contact to silicon Silver is not detrimental to cell performance, is inert to firing temperature and produces a surface to which metal ribbon can be readily soldered. 10 Candelise & Mason / SMEET II 27Feb13

  11. silver - cost challenge Remarkable reductions in PV module cost have been achieved over recent years but rising silver metal price has limited cost reduction in cell fabrication Best-practice wafer-to-cell conversion cost <$0.20/Wp module cost breakdown Typical silver paste wafer cost $0.09/Wp silver silicon cell paste consumption ~300 mg/cell 156mm process silver cost $ 1.10/g module silver cost in wafer $ 0.33/cell ($0.09/Wp) Candelise & Mason / SMEET II 27Feb13 11

  12. sliver demand MT sources: The Silver Institute PR 7 Apr 2011 and Photon International 8-2011 30,000 30,000 • net gov. sales Industrial demand for coins & medals 25,000 25,000 silver 55% of supply silverware recycle 20,000 20,000 jewelry • Prime industrial use is 15,000 mine photography 15,000 electrical & electronics production industrial 10,000 10,000 • PV consumes around 6% supply demand of demand but increasing 5,000 5,000 (2010) (2010) with market growth - - MT • No shortage of silver but Industrial Demand for Silver 20,000 other supply driven by mining 15,000 ethylene and price oxide 10,000 solder & brazing electrical & 5,000 electronic PV - 2008 2009 2010 2011e 2012e 2013e 2014e 2015e Candelise & Mason / SMEET II 27Feb13 12

  13. base metal alternatives Nickel plated contacts were used in PV cells in the early 80’s by Solarex and Motorola Requires on-cell mask ( e.g. photolith.) for electroless Ni deposition Oxidation of nickel and copper limit their use in printed and fired pastes Copper an ideal conductor (92% conductivity Ag) but a detrimental impurity in silicon 2-layer Ni/Cu offers an effective solution Ni plating of solar cells - US DoE/JPL funded work April 1980 Candelise & Mason / SMEET II 27Feb13 13

  14. Ni/Cu/Sn plate v Ag print Attribute Ag Screen Print Ni/Cu/Sn Plating Lower material cost Ag price $1200/kg Cu price $9/kg Narrow line width 50-120 m 20-40 m Lower Resistivity 3-10 Ω .cm 1.7 Ω .cm sensitive to firing temp. <1 m Ω .cm 2 Lower contact resistance Higher aspect ratio (h:w) Range 1:10 to 1:2 1:2 or better Lower firing temperature 750-800 C 350-400 C Laser pattern SiN Screen Print Ag paste Ni plate 780°C fire-through SiN 350°C sinter Ni-Si Copper - more complex process but Cu plate + Sn cap lower cost and improved performance Candelise & Mason / SMEET II 27Feb13 14

  15. early adopters (of Cu) Pioneered by In production today Source: Ji-sun Kim (Shinsung Solar) et al., PVSC 2011 Pilot production or R&D Candelise & Mason / SMEET II 27Feb13 15

  16. summary (from case 1 & 2) A 3-fold decrease in Si consumption per Wp and a 2-fold decrease in Si Factory-gate c-Si module prices 3.50 price has driven c-Si to a low price 3.00 module price ($/Wp) High silver price is driving a range of 2.50 2.00 short-term silver paste-reduction 1.50 initiatives but copper-based 1.00 contacts provide a long-term 0.50 solution to sustainable cost 0.00 Dec-08 Dec-09 Dec-10 Dec-11 Dec-12 reduction Source: Photon Consulting Message Incumbent industries will find innovative ways to overcome material shortages or price escalation Candelise & Mason / SMEET II 27Feb13 16

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend