from cpu gpu to heterogeneous multi core
play

From CPU-GPU to heterogeneous multi-core Yesterday (2000-2010) - PowerPoint PPT Presentation

Simty : Generalized SIMT execution on RISC-V CARRV 2017 Sylvain Collange INRIA Rennes / IRISA sylvain.collange@inria.fr From CPU-GPU to heterogeneous multi-core Yesterday (2000-2010) Homogeneous multi-core Discrete components Graphics


  1. Simty : Generalized SIMT execution on RISC-V CARRV 2017 Sylvain Collange INRIA Rennes / IRISA sylvain.collange@inria.fr

  2. From CPU-GPU to heterogeneous multi-core Yesterday (2000-2010) Homogeneous multi-core Discrete components Graphics Central Processing Processing Unit Today (2011-...) Unit (GPU) (CPU) Heterogeneous multi-core Physically unified CPU + GPU on the same chip Logically separated Different programming models, Throughput- compilers, instruction sets optimized Tomorrow cores Latency- optimized Hardware Unified programming models? cores accelerators Single instruction set? Heterogeneous multi-core chip 2

  3. From CPU-GPU to heterogeneous multi-core Yesterday (2000-2010) Homogeneous multi-core Discrete components Graphics Central Processing Processing Unit Today (2011-...) Unit (GPU) (CPU) Heterogeneous multi-core Physically unified CPU + GPU on the same chip Logically separated Different programming models, Throughput- compilers, instruction sets optimized Tomorrow cores Latency- optimized Hardware Unified programming models? cores accelerators Single instruction set? Heterogeneous multi-core chip Defining the general-purpose throughput-oriented core 3

  4. Outline Stateless dynamic vectorization Functional view Implementation options The Simty core Design goals Micro-architecture 4

  5. The enabler: dynamic inter-thread vectorization Idea: microarchitecture aggregates threads together to assemble vector instructions Threads SPMD Program add add add r1, r3 add Vector mul add mul r2, r1 mul add instructions mul mul mul Force threads to run in lockstep: threads execute the same instruction at the same time (or do nothing) Generalization of GPU's SIMT for general-purpose ISAs Benefits vs. static vectorization Programmability : software sees only threads, not threads + vectors Portability : vector width is not exposed in the ISA Scalability : + threads → larger vectors or more latency hiding or more cores Implementation simplicity : handling traps is straightforward 5

  6. Goto considered harmful? RISC-V NVIDIA NVIDIA Intel GMA Intel GMA AMD AMD AMD Cayman Tesla Fermi Gen4 SB R500 R600 (2011) (2007) (2010) (2006) (2011) (2005) (2007) push jal bar bar jmpi jmpi jump push push_else jalr bra bpt if if loop push_else pop bXX brk bra iff else endloop pop push_wqm ecall brkpt brk else endif rep loop_start pop_wqm else_wqm ebreak cal brx endif case endrep loop_start_no_al jump_any Xret cont cal do while breakloop loop_start_dx10 kil cont while break breakrep loop_end reactivate pbk exit break cont continue loop_continue reactivate_wqm pret jcal cont halt loop_break loop_start ret jmx halt call jump loop_start_no_al ssy kil msave return else loop_start_dx10 trap pbk mrest fork call loop_end .s pret push call_fs loop_continue ret pop return loop_break ssy return_fs jump .s alu else alu_push_before call alu_pop_after call_fs alu_pop2_after return Control transfer instructions alu_continue return_fs alu_break alu in GPU instruction sets vs. RISC-V alu_push_before alu_else_after alu_pop_after alu_pop2_after alu_continue alu_break alu_else_after GPUs: control flow divergence and convergence is explicit 6 Incompatible with general-purpose instruction sets ☹

  7. Stateless dynamic vectorization Idea: per-thread PCs characterize thread state Code Program Counters (PCs) tid= 0 1 2 3 if(tid < 2) { Match → active if(tid == 0) { x = 2; 1 0 0 0 Master PC PC 0 } else { No match x = 3; → inactive PC 1 } PC 2 PC 3 } Policy: MPC = min(PC i ) inside deepest function Intuition: favor threads that are behind so they can catch up 7 Earliest reconvergence with code laid out in reverse post order

  8. Functional view Control transfer instruction or exception Match: execute instruction, update PC Insn, Insn MPC=PC 0 ? Exec Update PC PC 0 MPC Insn, Insn MPC=PC 1 ? Exec Update PC PC 1 MPC Broadcast MPC MPC Instruction Insn, Vote Fetch MPC No match: discard instruction Insn, Insn MPC=PC n ? Exec Update PC PC n MPC 8

  9. Functional view Arithmetic instruction Match: execute instruction, Min(PC+1) = Min(PC)+1 update PC PC 0 ++ No need to vote again Insn, Insn MPC=PC 0 ? Exec MPC Insn, Insn MPC=PC 1 ? Exec MPC Broadcast Instruction Insn, MPC Fetch MPC No match: discard instruction, do not change PC MPC++ Insn, Insn MPC=PC n ? Exec MPC PC n ++ 9

  10. Implementation 1: reduction tree Straighforward implementation Per-thread PCs of the functional view PC 0 PC 1 PC 2 PC 3 PC 4 PC 5 PC 6 PC 7 On every branch: 12 17 3 17 17 3 3 17 compute Master PC from individual PCs min min min min Reduction tree to compute max(depth)-min(PCs) On every instruction: min min compare Master PC with individual PCs min Row of address comparators 3 Issues: area, energy overheads, extra branch resolution latency Master PC 10

  11. Implementation 2: sorted context table Common case: few different PCs Order stable in time Keep Common PCs+activity masks in sorted heap T 7 T 0 T 1 12 17 3 17 17 3 3 17 3 0 0 1 0 0 1 1 0 CPC 1 PC 0 PC 1 PC 2 PC 3 PC 4 PC 5 PC 6 PC 7 12 1 0 0 0 0 0 0 0 CPC 2 17 0 1 0 1 1 0 0 1 CPC 3 Per-thread PCs Sorted context table Branch = insertion in sorted context table Convergence = fusion of head entries when CPC 1 =CPC 2 Activity mask is readily available 11

  12. Outline Stateless dynamic vectorization Functional view Implementation options The Simty core Design goals Micro-architecture 12

  13. Simty: illustrating the simplicity of SIMT Proof of concept for dynamic inter-thread vectorization Focus on the core ideas → the RISC of dynamic vectorization Simple programming model Many scalar threads General-purpose RISC-V ISA Simple micro-architecture Single-issue RISC pipeline SIMD execution units Highly concurrent, scalable Interleaved multi-threading to hide latency Dynamic vectorization to increase execution throughput Target: hundreds of threads per core 13

  14. Simty implementation Written in synthesizable VHDL Runs the RISC-V instruction set (RV32I) Fully parametrizable SIMD width, multithreading depth 10-stage pipeline 14

  15. Multiple warps Wide dynamic vectorization found counterproductive Sensitive to control-flow and memory divergence Threads that hit in the cache wait for threads that miss Breaks latency hiding capability of interleaved multi-threading Two-level approach : partition threads into warps, vectorize inside warps Standard approach on GPUs Threads Vector instruction Warp 15

  16. T wo-level context table Cache top 2 entries in the Hot Context Table register Constant-time access to CPC i , activity masks In-band convergence detection Other entries in the Cold Context Table Branch → incremental insertion in CCT Out-of-band CCT sorting: inexpensive insertion sort in O(n²) If CCT sorting cannot catch up: degenerates into a stack (=GPUs) 16

  17. Memory access patterns In traditional vector processing Easy T 1 T 2 T n T 1 T 2 T n Easy Registers Registers Memory Memory Scalar load & broadcast Unit-strided load Reduction & scalar store Unit-strided store Hard T 1 T 2 T n Hardest T 1 T 2 T n Registers Registers Memory Memory (Non-unit) strided load Gather (Non-unit) strided store Scatter 17

  18. Memory access patterns With dynamic vectorization Easy T 1 T 2 T n T 1 T 2 T n Easy Registers Registers Memory Memory Scalar load & broadcast Unit-strided load Common case Reduction & scalar store Unit-strided store Hard T 1 T 2 T n Hardest T 1 T 2 T n Registers Registers Memory Memory (Non-unit) strided load Gather (Non-unit) strided store Scatter General case Support the general case, optimize for the common case 18

  19. Memory access unit Scalar and aligned unit-strided scenarios: single pass Complex accesses in multiple passes using replay Execution of a scatter/gather is interruptible Allowed by multi-thread ISA No need to rollback on TLB miss or exception Insn, Insn MPC=PC 0 ? Mem Update PC PC 0 MPC Insn, Insn MPC=PC 1 ? Mem Update PC PC 1 MPC Broadcast MPC MPC Instruction Insn, Vote Fetch MPC No match or discard instruction, bank conflict: do not update PC Insn, Insn MPC=PC n ? Mem Update PC PC n MPC 19

  20. FPGA prototype On Altera Cyclone IV Logic area (LEs) Memory area (M9Ks) Frequency (MHz) Up to 2048 threads per core: 64 warps × 32 threads Sweet spot: 8x8 to 32x16 Latency hiding Throughput multithreading depth SIMD width 20

  21. Conclusion Stateless dynamic vectorization is implementable Unexpectedly inexpensive Overhead amortized even for single-issue RISC without FPU Scalable Parallelism in same class as state-of-the-art GPUs Minimal software impact Standard scalar RISC-V instruction set, no proprietary extension Reuse the RISC-V software infrastructure: gcc and LLVM backends OS changes to manage ~10K threads? One step on the road to single-ISA heterogeneous CPU+GPU 21

  22. Simty: Generalized SIMT execution on RISC-V CARRV 2017 Sylvain Collange INRIA Rennes / IRISA sylvain.collange@inria.fr

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend