abdullah baz delong shang fei xia and alex yakovlev
play

Abdullah Baz, Delong Shang, Fei Xia and Alex Yakovlev - PowerPoint PPT Presentation

Abdullah Baz, Delong Shang, Fei Xia and Alex Yakovlev Microelectronic System Design Group, School of EECE, Newcastle University Agenda Introduction Research motivation Methodology Proposed solution Analysis and Results


  1. Abdullah Baz, Delong Shang, Fei Xia and Alex Yakovlev Microelectronic System Design Group, School of EECE, Newcastle University

  2. Agenda � Introduction � Research motivation � Methodology � Proposed solution � Analysis and Results � Conclusion � Future work

  3. Pass transistors Introduction

  4. SRAM operation � Reading: � Precharge bitlines � Open WL � one bitline will be discharged � Writing: � Precharge bitlines � Enable write driver � pull down one of the bitline � Open WL � new data will be written

  5. SRAM timing � SRAM works based on timing assumptions to ensure safe reading and correct writing � The timing control block controls the time for precharging, opening the WL, enabling the write driver � Timing control methods: � Clock � Delay line (inverters chain) � Replica bitline (dummy column)

  6. Previous work � SI for reading and delay line for writing [A four phase handshaking asynchronous static RAM design for selftimed systems by Vincent Wing-Yun Sit et al .] � SI for reading and delay line for writing based on timing assumption (vdd ranges from 0.7V to 1.5V) [GHz asynchronous SRAM in 65nm by J. Dama and A. Lines] � New cell with 13T [Design and verification of a self-timed RAM by L.S. Nielsen and J. Staunstrup]

  7. Previous work (SI reading)

  8. Previous work � SI for reading and delay line for writing [A four phase handshaking asynchronous static RAM design for selftimed systems by Vincent Wing-Yun Sit et al .] � SI for reading and delay line for writing based on timing assumption (vdd ranges from 0.7V to 1.5V) [GHz asynchronous SRAM in 65nm by J. Dama and A. Lines] � New cell with 13T [Design and verification of a self-timed RAM by L.S. Nielsen and J. Staunstrup]

  9. Behaviour of SRAM under variable Vdd � Investigate the latency mismatch between the SRAM and the inverter-chain under different Vdds

  10. Investigation results

  11. SRAM timing variations � The timing variations of SRAM can be caused by: � Nondeterministic supply voltage (energy harvesting) � Process Voltage Temperature (PVT) variations � Effect of timing variations: � Read failure: open WL before deactivation precharge � Access failure: close WL before discharge one of the bitlines � Write failure: close WL before data is written

  12. Methodology � Asynchronous design regulates the data flow of the circuit based on the actual speed of the circuit � The handshaking protocol tracks any latency variations � Self-timed design with completion detection can adapt with Vdds

  13. Our contribution � Prove that typical delay line can not track (without penalty) SRAM timing variation under highly variable supply voltage � Design a novel asynchronous fully SI SRAM memory based on the 6T cell (no need to increase number of the transistor or change the architecture) � Demonstrate the ability of our memory to work under wide range of variable supply voltage � Propose new method to build delay elements for bundled SRAM memory

  14. 1 1 0 0 Proposed solution

  15. Proposed asynchronous controller

  16. Operation: initial state

  17. Operation: writing

  18. Operation: writing

  19. Operation: writing

  20. Operation: writing

  21. Operation: writing

  22. Operation: writing

  23. Operation: writing

  24. Operation: writing

  25. Operation: writing

  26. Operation: reading

  27. Operation: reading

  28. Operation: reading

  29. Operation: reading

  30. Operation: reading

  31. Operation: reading

  32. Operation: reading

  33. Proposed solution under variable Vdd

  34. 1 Kbit (64x16) fully SI SRAM (6T)

  35. 1 Kbit (64x16) fully SI SRAM (6T)

  36. Conclusion � Under non-deterministic supply voltage, the first concern is the timing variations � Typical delay line is not suitable to track the timing variations in SRAM � Asynchronous design can solve this problem at the expense of area and energy

  37. Future work � Find out an accurate model for the harvester to test our proposed SRAM � Find out the overhead of the proposed solution in terms of area and performance � Analyze the proposed controller under the process variations � Fabricate the design to demonstrate its functionally

  38. Question

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend