synthesizing a representative critical path for post
play

Synthesizing a Representative Critical Path for Post-Silicon Delay - PowerPoint PPT Presentation

Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Qunzeng Liu and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Variations in Digital Circuits These lead to


  1. Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Qunzeng Liu and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota

  2. Variations in Digital Circuits • These lead to circuit • Variations in nanoscale performance deviations technologies Poly 1.4 1.3 30% Normalized 1.2 Frequency 1.1 Intel 5X 1.0 Diffusion 0.9 S. Tyagi 1 2 3 4 5 Normalized Leakage • Timing PDF • Power PDF – Process: across-die/within- die – Environment: T, V dd 2

  3. Design Phase: Pre-Silicon Pre-Silicon Optimization Pre-Silicon Analysis Deterministic/Statistical Synthesis Timing/Power Analysis Gate Sizing … … Statistical Power Statistical Timing Analysis result Analysis result 3

  4. Statistical Static Timing Analysis (SSTA) • Spatial correlation 1 2 3 ( ) = μ + + T ~ N , d a p R p 0 I • Canonical form c c c 4

  5. Design Phase: Post-Silicon Post-Silicon Optimization Post-Silicon Analysis • Adaptive Body Bias(ABB), • Delay Analysis, • Adaptive Voltage Scaling • Design-Silicon Correlation, (AVS), … … [amd.com] 5

  6. Adaptive Body Bias (ABB) [Tschanz et al., JSSC02] 6

  7. Limitations of Critical Path Replica (CPR) • Only the nominal critical path is replicated • Numerous near-critical paths in modern VLSI circuits • Nominal critical path not necessarily critical in the manufactured die (process variations) •Representative Critical Path (RCP) •Always predicts the worst case delay 7

  8. Outline 8

  9. Problem Formulation Circuit with Gaussian process parameter variations Original Circuit Representative Critical d c Path (RCP) should be related to the original circuit d p RCP Build the RCP to reveal most information about the original circuit. 9

  10. Mathematical Formulation From SSTA (Pre-Silicon) Our goal: From measurement data (Post-Silicon) Conditional PDF ρ Minimum Maximum 10

  11. Full Correlated Case Fully Correlated ( ) n = a a a = = Λ = − μ = − μ 1 2 k d k d c c p p b b b 1 2 n 11

  12. Outline 12

  13. Representative Critical Path (RCP) Synthesis (Method I) Maximum improvement Maximum improvement 13

  14. Comments on Method I • Advantage – Guaranteed to do no worse than CPR – Exact solution when there is clearly one dominating path • Drawback – Flexibility of the solution is limited • Runtime: O(Ks) – Saved by only updating the SSTA results of stages adjacent to the one sized up – K: number of iterations – s:number of stages 14

  15. RCP Generation (Method II) ρ ρ ρ ρ ρ − 2 1 0 s 1 s Max 15

  16. Comments on Method II • Advantage – More flexibility, not tied to a specific path • Drawbacks – No exact solution when there is only one dominating path – Not guaranteed to be always better than CPR • Runtime: O(kcs) – k: number of starting locations – c: number of choices for each iteration – s: maximum number of stages 16

  17. Outline 17

  18. Comparison Metric • Average error, maximum error w.s.t. Monte-Carlo analysis Guard band • Guard band 18

  19. Experimental Results (Method I) 19

  20. Scatter Plots (Method I) 20

  21. Experimental Results (Method II) 21

  22. Number of Paths vs. Delay # Paths vs. Delay for delay optimized s9234 # Paths vs. delay for delay optimized s13207 30 100 25 80 num ber of paths num ber of paths 20 60 15 40 10 20 5 0 0 0 500 1000 0 200 400 600 800 delay (ps) delay (ps) 22

  23. Conclusion and Future Work • Two novel methods for synthesizing a representative critical path under process variations are presented • Average prediction error: below 2.8% • To ensure 99% of the predictions pessimistic, requiring guard band 30% smaller than CPR • Future work – Test on real silicon 23

  24. Thank you! 24

  25. Extra Slides 25

  26. Scatter Plots (Method II) s35932 by Critical Path Replica s35932 by Method II 500 500 450 450 predicted delay (ps) predicted delay (ps) 400 400 350 350 300 300 250 250 250 300 350 400 450 500 250 300 350 400 450 500 true delay (ps) true delay (ps) 26

  27. An Example RCP (Method II) RCP for s38417 590 y direction 0 0 590 x direction 27

  28. ρ vs. iteration number (Method II) Correlation coefficient trend for s38417 1 0.95 Correlation coefficient 0.9 0.85 0.8 0.75 0.7 0 10 20 30 40 50 Iteration 28

  29. Equations for Editing σ = + σ ⎛ ⎞ 2 2 = μ + + μ ⎡ ⎤ T ⎡ ⎤ ⎡ ⎤ σ 2 T T = μ + + d a a a b T d b p R ⎜ ⎟ d a p R c c c ⎢ ⎥ ⎢ ⎥ ~ ⎢ ⎥ , N c R ⎜ ⎟ p p p μ σ 2 c c c T c d ⎢ ⎥ ⎣ ⎦ ⎣ ⎦ a b ⎣ ⎦ ⎝ ⎠ p p p ( ) ( ) = = μ σ 2 | ~ , σ = + σ d d d N d d 2 T 2 b b d c p pr p pr p R c p ⎛ ⎞ T ( ) = μ + + T a b T a b ⎜ ⎟ d b 3 p R σ = σ − 2 2 μ = μ + − μ 1 d p p p ⎜ ⎟ σ σ c σ c pr p ⎝ ⎠ c p p T a b ρ = 1 σ + σ 2 2 b b 1 1 c R p 29

  30. Parameter Variations Parameter Variations σ Use the Grid-Based Correlation Model ([ Chang, ICCAD03] ) 30

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend