qos qos aware aware binoc binoc architecture architecture
play

QoS QoS Aware Aware BiNoC BiNoC Architecture Architecture Shih - PowerPoint PPT Presentation

QoS QoS Aware Aware BiNoC BiNoC Architecture Architecture Shih Shih- -Hsin Hsin Lo, Ying Lo, Ying- -Cherng Cherng Lan Lan, , Hsin Hsin- -Hsien Hsien Yeh Yeh, , Wen Wen- -Chung Tsai, Chung Tsai, Yu Yu- -Hen Hen Hu Hu, and


  1. QoS QoS Aware Aware BiNoC BiNoC Architecture Architecture Shih Shih- -Hsin Hsin Lo, Ying Lo, Ying- -Cherng Cherng Lan Lan, , Hsin Hsin- -Hsien Hsien Yeh Yeh, , Wen Wen- -Chung Tsai, Chung Tsai, Yu Yu- -Hen Hen Hu Hu, and Sao , and Sao- -Jie Jie Chen Chen Ying Cherng Lan Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

  2. Introduction Introduction � The trend toward many-core processing chips is now a well � The trend toward many-core processing chips is now a well established one � Interconnect delay dominates gate g delay – Global interconnect delay continuously increasing – Need multiple clock Need multiple clock cycles to cross chip die – Limits the performance of microprocessors of microprocessors Page � 2

  3. Communication Centric Design Communication Centric Design � The design concept of a system is moving gradually from � The design concept of a system is moving gradually from computation-centric to communication centric. � Conventional bus-based architecture becomes no longer a feasible communication scheme in terms of bandwidth and scalability communication scheme in terms of bandwidth, and scalability. Page � 3

  4. Network on Chip Network on Chip � Network on Chip (NoC) is a promising solution to mitigate the ever increasing communication complexity and provide better i i i ti l it d id b tt scalability. – W J Dally and B Towles “Route Packets Not Wires: On Chip Interconnection Networks ” in W.J. Dally and B. Towles, Route Packets, Not Wires: On-Chip Interconnection Networks, in Proceedings of DAC , pp. 684-689, Jun. 2001. – L. Benini and G. DeMicheli, “Networks on Chips: a New SoC Paradigm,” IEEE Computer, vol. 35, no. 1, pp. 70-78, Jan. 2002. – A. Jantsch and H. Tenhunen (Eds.), Networks on Chip , Kluwer Academic Publishers, 2003. wire i PE PE Page � 4

  5. Quality of Service for Quality of Service for NoC NoC � Since many of the system applications have real-time requirements � Since many of the system applications have real-time requirements, the system and the network have to be predictable. � To proceed a practical application, there are numerous type of packets in different importance need to be transmitted packets in different importance need to be transmitted. • GS (guaranteed service) : guaranteed in latency. (e.g., real time stream) • BE (best effort) : guaranteed only in correctness BE (b t ff t) t d l i t Page � 5

  6. How to provide How to provide QoS QoS for for NoC NoC � To provide QoS in network on chip, two To provide QoS in network on chip, two communication scheme have been proposed. – Connection-oriented mechanism (Circuit switching ) – Connection-less mechanism (Packet- switching) Page � 6

  7. Related Works Related Works � It is proven that connection less scheme is better in a variable bit rate application application. – M. D. Harmanci, “ Quantitative modelling and comparison of communication schemes to guarantee Quality-of-Service in Networks-on-Chip ” ISCAS ’05 � In a typical connection-less QoS scheme, the packets with different priorities can be adapted to a virtual channel NoC router. – E. Bolotin, “ QNoC: QoS architecture and design process for network on chip ”, J. Syst. Architecture: EUROMICRO J ’04 Page � 7

  8. Motivational Example Motivational Example � Conventional uni-directional inter-router communication channel � Under the typical uni-directional NoC, only GS1 is granted while yp , y g another channel with opposite direction is used by the BE1 flow with the lower QoS requirement. Page � 8

  9. Motivational Example Motivational Example � Enhance real-time traffic routing flexibility by dynamic reconfigurable bi-directional channel. � The inter-router arbitration can be applied to further enhance the channel usage priority for the GS traffic. channel usage priority for the GS traffic. Page � 9

  10. QoS QoS Aware Aware BiNoC BiNoC Architecture Architecture � Bidirectional channel direction control module are implemented for inter-router Bidirectional channel direction control module are implemented for inter router arbitration. Page � 10

  11. Prioritized Virtual Channel Management and Inter Prioritized Virtual Channel Management and Inter- -router router Arbitration Arbitration Arbitration Arbitration � GS packets always has the higher priority to get the output bandwidth during the intra-router arbitration. g � inter-router arbitration improve the channel utilization for GS packets. Page � 11

  12. Inter Inter- -Router Channel Direction Control Scheme Router Channel Direction Control Scheme � Config ration of a bi directional channel is managed b a � Configuration of a bi-directional channel is managed by a finite state machine in the channel control modules. Page � 12

  13. Inter Inter- -Router Transmission Scheme Router Transmission Scheme � The channel state reflects whether this port can be used to output data currently or not. t t d t tl t The channel can be used to output data at present. p p Transitional state between idle and free , used to accommodate inter- router communication delay, not for output currently output currently. The channel direction is inward, not for Page � 13 output currently.

  14. Starvation Avoidance Starvation Avoidance � To prevent the inter-router starvation problem, one of these two FSMs will be designated with a higher priority (HP) and the other with a will be designated with a higher priority (HP) and the other with a lower priority (LP). Page � 14

  15. Prioritized Channel Control FSM Prioritized Channel Control FSM High priority FSM Low priority FSM Page � 15

  16. Prioritized Routing Restriction Prioritized Routing Restriction � A prioriti ed ro ting restriction is applied to lea e more � A prioritized routing restriction is applied to leave more available communication bandwidth for GS traffic. – BE traffic : deterministic routing BE traffic : deterministic routing – GS traffic : adaptive routing – Odd-Even Turn model is applied to prevent deadlock pp p G. M. Chiu, “ The Odd-Even Turn Model for Adaptive Routing ,” IEEE Transactions on Parallel and Distributed Systems , vol. 11, no. 7, pp. 729-738, Jul. 2000. y , , , pp , � The prioritized routing can help the GS traffic to exploit more channel resource for transmission more channel resource for transmission. Page � 16

  17. Experimental Setup Experimental Setup � Simulation setup � Simulation setup – 8x8 2-D mesh – Cycle accurate HDL simulation – 32 flit buffer implemented in 4 virtual channels in each direction – Uniform, transpose and hotspot traffic Page � 17

  18. Experimental Results Experimental Results � latency results between BiNoC 4VC, and BiNoC QoS. latency results between BiNoC_4VC, and BiNoC_QoS. � GS traffic occupies 20% of the total traffic 300 300 BiNoC_4VC BiNoC_4VC 250 250 BiNoC_QoS(GS) BiNoC_QoS(GS) ncy�(cycle) ncy�(cycle) 200 200 BiNoC_QoS(BE) BiNoC_QoS(BE) 150 150 late late 100 100 50 50 0 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5 flit�injection�rate�(flit/node/cycle) flit�injection�rate�(flit/node/cycle) uniform transpose 300 BiNoC_4VC 250 BiN C Q S(GS) BiNoC_QoS(GS) latency�(cycle) 200 BiNoC_QoS(BE) 150 100 50 0 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 flit�injection�rate�(flit/node/cycle) Page � 18 hotspot

  19. Experimental Results Experimental Results � latency results between NoC_QoS, and BiNoC_QoS. � Inter-router arbitration can further reduce the latency of GS packets because of the doubled bandwidth utilization flexibility 300 300 NoC_QoS(GS) NoC_QoS(GS) 250 250 NoC_QoS(BE) NoC_QoS(BE) ncy�(cycle) ncy�(cycle) 200 200 BiNoC_QoS(GS) BiNoC_QoS(GS) 150 150 BiNoC_QoS(BE) BiNoC_QoS(BE) laten late 100 100 50 50 0 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5 flit�injection�rate�(flit/node/cycle) flit�injection�rate�(flit/node/cycle) transpose uniform 300 NoC_QoS(GS) 250 NoC QoS(BE) NoC_QoS(BE) ) latency�(cycle 200 BiNoC_QoS(GS) 150 BiNoC_QoS(BE) 100 50 0 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 flit�injection�rate�(flit/node/cycle) Page � 19 hotspot

  20. Experimental Results Experimental Results � latency results between BiNoC_QoS, and BiNoC_QoS_OE. � The prioritized routing restriction can help the GS packets to avoid the blocking h i i i d i i i h l h k id h bl ki nodes thus reduces the latency. 300 300 300 300 BiNoC_QoS(GS) BiNoC_QoS(GS) 250 250 BiNoC_QoS(BE) BiNoC_QoS(BE) atency�(cycle) atency�(cycle) 200 200 BiNoC_QoS_OE(GS) BiNoC_QoS_OE(GS) 150 150 BiNoC_QoS_OE(BE) BiNoC_QoS_OE(BE) 100 100 la la 50 50 0 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 flit�injection�rate�(flit/node/cycle) flit�injection�rate�(flit/node/cycle) uniform if t transpose 300 BiNoC_QoS(GS) 250 ) latency�(cycle) BiNoC_QoS(BE) 200 BiNoC_QoS_OE(GS) 150 BiNoC_QoS_OE(BE) 100 50 0 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 flit�injection�rate�(flie/node/cycle) Page � 20 hotspot

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend