performance power trade offs of bitline isolation
play

Performance/Power Trade-Offs of Bitline Isolation Se-Hyun Yang and - PowerPoint PPT Presentation

Performance/Power Trade-Offs of Bitline Isolation Se-Hyun Yang and Babak Falsafi Computer Architecture Lab at Carnegie Mellon Elecrtrical and Computer Engineering Carnegie Mellon University High Bitline Discharge in Caches Deep sub


  1. Performance/Power Trade-Offs of Bitline Isolation Se-Hyun Yang and Babak Falsafi Computer Architecture Lab at Carnegie Mellon Elecrtrical and Computer Engineering Carnegie Mellon University

  2. High Bitline Discharge in Caches Deep sub µ high-performance caches • Use subarrays • Precharge entire caches statically • No precharging delay exposed … … … Large discharge from subarrays

  3. Bitline Isolation Stop discharge by cutting off V dd -bitline path • A.k.a. leakage biased bitlines • Turn off precharge devices … … … Need selective mechanisms to control

  4. Per-access Precharging Control Ideally, best for energy saving • All bitlines isolated initially • Precharge only accessed subarrays • On-demand wakeup using partial decoding … … … Can be done for free? Energy cost, Timeliness

  5. Contributions Bitline Isolation • Energy: Large cost before, not in the future Per-access control viable in the future • Performance: On-demand wakeup is late Early precharging is required • Ideal early precharging Vs. Resizable caches Large opportunity (74%) for per-access control

  6. Methodology CACTI 3.0 and SPICE simulations • 180nm-2V,130nm-1.7V,100nm-1.3V,70nm-1V Highly modified Wattch 1.0 • 12 SPEC benchmarks • 8-wide 64 Issue queue w/ 128 Active list • 32KB 2-way set associative L1 caches

  7. Outline • Introduction • Methodology • Energy Overhead • Performance Overhead • Per-access Vs. Resizable Caches • Conclusions

  8. Bitline Leakage in SRAM cell Vdd Vdd Precharge BL BL Wordline Leakage occurs in all subarrays Bitline isolation: turn off bitline devices

  9. Sources of Energy Overhead Switching precharge devices Charging up discharged bitlines

  10. Implications What affects energy overhead? • CMOS technology: Relatively larger wire cap • Precharge device size � Resistive load between V dd -bitlines on cell read � Fast pull-up • Subarray size • Discharging time: average cache access interval

  11. Energy Overhead: Results 2.0 180nm 100nm er bitline isolation 130nm e average pow 1.5 70nm s tatic pullup 1.0 elativ 0.5 R 200ns 400ns 0 Interval betw een tw o subarray ac cess es Bitline isolation energy effective in the future

  12. Performance Impact On-demand precharging • Precharge only accessed subarrays • On-demand wakeup using partial decoding Wordline Address Decoding Assertion ? Bitline Precharging Partial Address Decoding

  13. Cache Decoder Architecture decoder decoder Address subarray decoder subarray decoder subarray subarray Stage 1 3-to-8 Address 3-to-8 Stage 2 Stage 3

  14. Implications What affects the delay? • Precharging delay � CMOS technology: Longer wire delay � Size of subarray • Partial address decoding � # of subarrays: More bits for indentifying subarray

  15. Performance Impact: Results Subarray Feature Stage 3 Bitline size precharge(ns) Size (nm) Delay (ns) 180 0.15 0.39 1KB 130 0.13 0.31 100 0.09 0.24 32-row 70 0.06 0.16 180 0.18 0.50 4KB 130 0.13 0.36 100 0.10 0.28 128-row 70 0.07 0.19 Early precharging is desirable

  16. Per-Access Vs. Resizable Caches Resizable caches [Albonesi][Yang et. al] • Monitor/Adapt infrequently • Energy/time overhead amortized in large interval � Important in the past, not in the future • Possibly suboptimal control � Coarse-grain � Less sensitive

  17. • 70nm technology • 74% opportunity for instruction caches Reduction (%) in bitline discharge 100 ammp 20 40 60 80 0 applu apsi compress Opportunity gcc ijpeg m88ksim su2cor swim tomcatv vortex vpr AVG .

  18. Comparison: Resizable Caches 70 Reduction (%) in bitline discharge 50 30 Perfect Prediction Resizable Cache 10 -10 180nm 130nm 100nm 70nm Resizable caches: consistent over technologies Per-access control: capturing opportunity

  19. Conclusions • Smaller energy overhead in the future Per-access fine control viable in the future • On-demand wakeup is late Early precharging to avoid performance hit • 74% opportunity for per-access control for 70nm Significantly less opportunity for the past Resizable caches good for the all generations

  20. For more information PowerTap Project http://www.ece.cmu.edu/~powertap Computer Architecture Lab Carnegie Mellon University

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend