for high level synthesis
play

for High-Level Synthesis (FLASH) Yuze Chi, Young-kyu Choi, Jason - PowerPoint PPT Presentation

Rapid Cycle-Accurate Simulator for High-Level Synthesis (FLASH) Yuze Chi, Young-kyu Choi, Jason Cong, and Jie Wang University of California, Los Angeles Supported by Intel and NSF Joint Research Center on Computer Assisted Programming for


  1. Rapid Cycle-Accurate Simulator for High-Level Synthesis (FLASH) Yuze Chi, Young-kyu Choi, Jason Cong, and Jie Wang University of California, Los Angeles Supported by Intel and NSF Joint Research Center on Computer Assisted Programming for Heterogeneous Architectures (CAPA)

  2. Motivation • RTL co-simulation for HLS Too slow ... (ex matmul: 192s) Difficult to understand • SW simulation for HLS 100X to 1000X faster than RTL co-sim (ex matmul: 0.05s) - But can it measure the execution time? - Is it producing the correct result? Easy to understand https://www.goodfreephotos.com/cache/vector-images/confused-idea-lightbulb.png 2 http://clipart-library.com/clipart/133840.htm https://pixabay.com/en/light-bulb-idea-enlightenment-plan-1926533/

  3. • HLS simulation of molecular dynamics Dist PE1 Dist PE2 Dist PE3 Dist PE4 (II=4) 1 6 1st round: (bubble) 2 (bubble) (bubble) 5 9 2 2nd round: 5 (bubble) (bubble) 8 3rd round: (bubble) 10 11 (bubble) 11 10 3 4 (Round-robin 7 8 Force PE non-blocking (II=1) 12 < HLS C code> read) #pragma HLS dataflow Does not Dist_PE1(); RTL sim output: 2 5 8 10 11 Simulated in match! Dist_PE2(); instantiation order SW sim output: 5 2 11 8 10 Dist_PE3(); → Missing bubbles Dist_PE4(); Force_PE(); – Reason 3 Christophe Rowley, https://en.wikibooks.org/wiki/Molecular_Simulation/Radial_Distribution_Functions

  4. • Conventional simulation flows & proposed approach <HLS design steps> Allocation Library HLS C code Binding Compilation Generation RTL code Fast, but 1. Output may stmt,loop, Scheduling not be accurate func, ... 2. No perf Accurate, but Proposed SW RTL estimation too slow simulator simulator simulator scheduling info (FLASH) • Overall simulation framework of FLASH* Input: Output: New sim Sim File file Prepro- Vivado HLS Generation HLS C sim Analysis cessing C code (w/ ROSE) Scheduling info HLS Synthesis 4 *FLASH: Fast, paralleL, Accurate Simulator for HLS

  5. static bool p1_en_st3, ...= false; Automated simulation code • static int temp_st3, ... temp_st6; generation ... if(M2_state == 1){ – Cycle-accurate simulation Single FSM state ... simulated per sim – Task-level parallelism M2_state = 2; func call } – Pipelined parallelism else if(M2_state == 2){ – FIFO simulation & stalls (deadlock) if(p1_en_st6&&f2_wptr==f2_wnum){ return; – Loop/Func simulation } Pipeline stall condition ... while (i < N){ if(p1_en_st6 == true){ #pragma HLS pipeline FIFO write FLASH p1_en_st6 = false; if( f1.empty() == false ){ Sim File f2_warr[f2_wptr++] = temp_st6; int temp = f1.read(); } Generator f2.write(temp*711); ... (w/ ROSE) i++; if(p1_en_st3 == true){ } Simulates p1_en_st3 = false; <Original HLS C code> pipelined p1_en_st4 = true; temp_st4 = temp_st3; parallelism } ... FIFO empty if( i_st2 < N ){ if( f1_rnum != 0 ){ FIFO read p1_en_st3 = true; <Timing information from temp_st3=f1_rarr[f1_rptr++]; synthesis report> i_st2++; ... } } } (Details at poster) 5 <Transformed C code for simulation>

  6. • Simulation time comparison Deep (55) pipeline Frequent FIFO stall (FIFO depth=1) The proposed simulator (FLASH): – runs at a comparable speed with SW simulation (= 1.00X / 1.13X) – is faster than RTL simulation by 3 orders of magnitude (=1570X/1.13X) – in some cases, is faster than SW simulation (reason discussed in posters) – has more overhead with deep pipelines or with frequent FIFO stalls 6

  7. • Key take-away – HLS SW simulation based on the scheduling information • Can help solve the correctness issue and rapidly provide accurate performance estimation – This could substantially decrease the validation time of HLS tool customers Detect Cycle-accurate Correct performance deadlock output data estimation situation • We hope the presented result could motivate vendors to adopt similar approach in their HLS tools • Thank you! 7 https://pixabay.com/en/dart-board-arrow-bull-s-eye-25780/ https://pixabay.com/en/correct-mark-green-continue-right-2214020/ http://www.bhanage.com/2017/02/linux-difference-deadlocks-livelocks.html

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend