ara
play

Ara Design and implementation of a 1GHz+ 64-bit RISC-V Vector - PowerPoint PPT Presentation

Ara Design and implementation of a 1GHz+ 64-bit RISC-V Vector Processor in 22 nm FD-SOI Matheus CAVALCANTE PhD Student ETH Zurich Fabian SCHUIKI, Florian ZARUBA, Michael SCHAFFNER, Luca BENINI Matheus CAVALCANTE | 2 octobre 2019 | 1


  1. Ara Design and implementation of a 1GHz+ 64-bit RISC-V Vector Processor in 22 nm FD-SOI Matheus CAVALCANTE PhD Student – ETH Zurich Fabian SCHUIKI, Florian ZARUBA, Michael SCHAFFNER, Luca BENINI Matheus CAVALCANTE | 2 octobre 2019 | 1

  2. Ariane 1GHz 2 DP-GFLOPS 8 GB/s I$, D$ Instruction Data 64b 64b Interconnect 64b Matheus CAVALCANTE | 2 octobre 2019 | 2

  3. Instruction Queue ARA Ariane 1GHz 1GHz 2 DP-GFLOPS 8 DP-GFLOPS 8 GB/s ACK/TRAP 16 GB/s I$, D$ MMU Data Instruction Data 64b 64b 128b Interconnect 128b Matheus CAVALCANTE | 2 octobre 2019 | 3

  4. Memory Bandwidth and Performance: Rooflines Arithmetic Intensity  Operations per byte : data reuse of an Memory Bound Compute Bound  algorithm One FMA is two operations  Memory-bound and compute-bound  Peak perf. per memory width ratio  Ara targets 0.5 DP-FLOP/B  Memory bandwidth scales with the  number of FMAs Matheus CAVALCANTE | 2 octobre 2019 | 4

  5. Ara: High-performance vector processor GlobalFoundries’ GF22 FD-SOI process  Work initiated at my Master’s Thesis  First presented at the 1 st RISC-V Summit, last year  Will be open-sourced still in 2019 within the PULP Platform (as usual!)  Snapshot of the current development  Challenges we faced  Results we achieved  Insights we gained  Matheus CAVALCANTE | 2 octobre 2019 | 5

  6. RISC-V Vector Extension RISC-V “V” Extension: “Cray-like” vector-SIMD approach  Ara is based on version 0.5   Work being done to update it to the latest version of the spec (0.7)  Open-sourcing later this year Not fully-compliant   Limited support to fixed-point and vector atomics (not our focus)  Limited support for type promotions (e.g., 8b + 8b ← 64b) – hardware cost Matheus CAVALCANTE | 2 octobre 2019 | 6

  7. State-of-the-art Fujitsu’s A64FX  Based on ARM SVE  2.7 DP-TFLOPS at a 7 nm process  Hwacha  Vector-fetch architecture  More complex: vector unit fetches its own instructions and threads can diverge  Predecessor to RISC-V “V” with its own ISA  Later version should be compliant with the vector extension  64 DP-GFLOPS at TSMC 16 nm  40 DP-GFLOPS/W at 28 nm process  Matheus CAVALCANTE | 2 octobre 2019 | 7

  8. Microarchitecture First name Surname (edit via “View” > “Header & Footer”) | 12.12.2014 | 8

  9. Ara with N identical lanes Matheus CAVALCANTE | 2 octobre 2019 | 9

  10. Ara with N identical lanes Memory width W  Keep the peak perf. per memory width at 0.5 DPFLOP/B  Matheus CAVALCANTE | 2 octobre 2019 | 10

  11. Ara with N identical lanes Memory width W  Keep the peak perf. per memory width at 0.5 DPFLOP/B  Vector instruction dispatching  Ara executes instructions non-speculatively  Sequencer acknowledges instructions as soon as they  are deemed “safe” Matheus CAVALCANTE | 2 octobre 2019 | 11

  12. Ara with N identical lanes Memory width W  Keep the peak perf. per memory width at 0.5 DPFLOP/B  Vector instruction dispatching  Ara executes instructions non-speculatively  Sequencer acknowledges instructions as soon as they  are deemed “safe” Identical lanes  Each lane holds part of the computing units and part of  the Vector Register File (VRF): scalability! Matheus CAVALCANTE | 2 octobre 2019 | 12

  13. Lane microarchitecture Multibanked Vector Register File  Sustains high throughput without multiple ports  Requires an VRF Arbiter (banking conflicts)  Word width: 64 bits (aka operand width)  Matheus CAVALCANTE | 2 octobre 2019 | 13

  14. Lane microarchitecture Multibanked Vector Register File  Sustains high throughput without multiple ports  Requires an VRF Arbiter (banking conflicts)  Word width: 64 bits (aka operand width)  Operand queues  Queues needed to sustain maximum throughput for  the lock-step operation of the FUs, while hiding the latency caused by banking conflicts in the VRF Matheus CAVALCANTE | 2 octobre 2019 | 14

  15. Trans-precision funcional units FPU can handle 1 x 64b, 2 x 32b, 4 x 16b and  8 x 8b per cycle FMA is pipelined (5 cycles) to meet the fmax constraint  Design by Stefan Mach et al.  Idea embedded in the ISA  CSR holds the “standard element width” of the vectors  Matheus CAVALCANTE | 2 octobre 2019 | 15

  16. Performance Evaluation First name Surname (edit via “View” > “Header & Footer”) | 12.12.2014 | 16

  17. Main kernel under evaluation: MATMUL DP-MATMUL: n x n double-precision  matrix multiplication C ← AB + C 32 n 2 bytes of memory transfers and  2 n 3 operations  n /16 FLOP/B  Compute-bound on Ara for n > 8 Matheus CAVALCANTE | 2 octobre 2019 | 17

  18. Up to 98% efficiency @MATMUL (always?) Matheus CAVALCANTE | 2 octobre 2019 | 18

  19. Efficiency drop to 49% for a 16x16 MATMUL vld vB, 0(a0) vmadd s are issued at best every  ld t0, 0(a1) four cycles add a1, a1, a2 Ariane is single-issue core vins vA, t0, zero  vmadd vC0, vA, vB, vC0 ld t0, 0(a1) If the vmadd takes less than four  add a1, a1, a2 cycles to execute, the FPUs starve vins vA, t0, zero waiting for instructions vmadd vC1, vA, vB, vC1 ld t0, 0(a1) add a1, a1, a2 This translates to the “issue rate”  vins vA, t0, zero boundary on the roofline plot vmadd vC2, vA, vB, vC2 Vector processor becomes more and ...  more like an array processor Matheus CAVALCANTE | 2 octobre 2019 | 19

  20. Ara: 4 lanes GF 22FDX 1.25 GHz implementation (TT, 0.80V, 25 ºC) Lane 2 Lane 3 Ariane SLDU Front-end VLSU Lane 1 Lane 0 Matheus CAVALCANTE | 2 octobre 2019 | 20

  21. Figures of merit Area breakdown Clock frequency:   1.25 GHz (nominal), 0.92 GHz (worst)  Area: 3430 kGE (0.68 mm 2 )  256x256 MATMUL  Performance: 9.80 DP-GFLOPS  Power: 259 mW  Efficiency: 38 DP-GFLOPS/W  Matheus CAVALCANTE | 2 octobre 2019 | 21

  22. Ara’s scalability Each lane is almost independent  Contains part of the VRF and a FMA unit  Scalability limitations  SLDU VLSU and SLDU: needs to communicate  with all lanes, writing at all VRF banks Instance with 16 lanes achieves  VLSU 1.04 GHz (nominal), 0.78 GHz (worst)  10.7 MGE (2.13mm 2 )  32.4 DP-GFLOPS  40.8 DP-GFLOPS/W  Ariane Matheus CAVALCANTE | 2 octobre 2019 | 22

  23. More details? More details available in arXiv paper  Ara: A 1 GHz+ Scalable and Energy-Efficient RISC-V Vector Processor with Multi-Precision  Floating Point Support in 22 nm FD-SOI arxiv.org/abs/1906.00478  Open-sourcing within PULP Platform  Planned for before the end of this year!  Contact me at matheusd at iis.ee.ethz.ch :)  Matheus CAVALCANTE | 2 octobre 2019 | 23

  24. Ara Design and implementation of a 1GHz+ 64-bit RISC-V Vector Processor in 22 nm FD-SOI Matheus CAVALCANTE PhD Student – ETH Zurich Fabian SCHUIKI, Florian ZARUBA, Michael SCHAFFNER, Luca BENINI Matheus CAVALCANTE | 2 octobre 2019 | 24

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend