advanced concepts in simulation based verification topics
play

Advanced Concepts in Simulation Based Verification Topics planned - PDF document

Advanced Concepts in Simulation Based Verification Topics planned to be covered Test Bench Organization and Design Test Scenarios, Assertions and Coverage Checking and Coverage Analysis in relation to Specman 1 Test Bench


  1. Advanced Concepts in Simulation Based Verification Topics planned to be covered • Test Bench Organization and Design • Test Scenarios, Assertions and Coverage – Checking and Coverage Analysis in relation to Specman 1

  2. Test Bench Organization & Design -- Simulation Based Verification is all about writing proper test-benches Components of a test-bench Input Response Verification Clock Gen Initialization Stimuli Assessment Utility & Sync Interface The best of verification engineers shall use a large DUV number of languages for modeling various aspect… We know e, verilog, C, C++… 2

  3. How to invoke verilog from e Using HDL Tasks and Functions • In TCMs, it is possible to call HDL tasks and functions directly from e code • Useful because some codes are better written in certain languages • We wish to use the best of all… • From industry’s point of view, there are some legacy codes in verilog, C/C++ which are tested and hence are proven 3

  4. Bus Functional Models (One Such Case) Bus Functional Models • Encapsulates detailed operations among the test-bench and the device under verification as high level procedures • High level bus instructions, instead of bit patterns, are issued • Instructions are translated into lower level bit values and applied to the design • Interactions between the test-bench and the DUT are at the transaction level 4

  5. BFM (contd..) • Create a wrapper that enables the device to receive and send bus commands, and the wrapper disassembles/assembles the commands to/from bits • Wrapper is called an interpreter or transactor • Verification environment becomes easy to maintain Components and Structures commands/ transactions Transactors read write status read write status CPU Memory 5

  6. Memory read/write Timing Diagram Addr ~CS ~READ t a ~WE t d t r t a t ds Data Transactors using Verilog Task • task read_memory; input [31:0] in_address; output [31:0] out_data; begin addresstemp <= in_address; CS<=1’b0; #`ta READ <= 1’b0; #’td out_data <= data; #’tr READ <= 1’b1; CS <= 1’b1; end endtask 6

  7. Transactors using Verilog Task • task write_memory; input [31:0] in_address; input [31:0] in_data; begin addresstemp <= in_address; CS<=1’b0; #`ta WE <= 1’b0; dataread <= in_data; #’tds WE <= 1’b1; CS <= 1’b1; end endtask The complete BFM module memory_BFM(address,data); input [31:0] address; inout [31:0] data; reg[31:0] dataread, addresstemp; memory mem(.CS(CS),.read(READ),.WE(WE),.address(addresstemp),.data(data)); assign data = (READ?) out_data:dataread;// as inout is a wire… task read_memory; … end task task write_memory; … end task endmodule 7

  8. Test-Bench in verilog • module testbench; memory_BFM mem(.address(address),.data(data)); always @(posedge clk) begin mem.write_memory(addr,data); @(posedge clk) mem.write_memory(addr,data); @(posedge clk) mem.read(addr,data); Note, now the BFM has only Transaction-level entities like end address and data endmodule Specman & Verilog Tasks BFM1 task read e-code task write (Call HDL tasks and functions DUV directly from e-code) BFM2 task send task ack 8

  9. Verilog Task through e <‘ struct mem_w{ addr: int; data: int(bits: 32); }; unit receiver{ verilog task ‘top.write_mem’(addr:32:in,data:32:out); put_mem(mw:mem_w) @mem_write_enable is{ ‘top.write_mem’(mw.addr,mw.data); }; }; ‘> See for “verilog function”…Page 162, Palnitkar’s Book Initialization • All good circuits should initialize after power on • However it is required to maintain separate initialization constructs in the test-bench, as: – Simulation starting from its legal state shall take a lot of time – Simulation emulates an exceptional condition that the normal sequence starting from the legal initial state will not reach. 9

  10. Initialization • Hard coding initialization blocks in the test- bench is not a good practice, instead describe methods – initialize(…); • Do not embed the test-bench initialization block into the DUT Sometimes using verilog and PLI test-benches s can be handy • Reasons: – File Management ($readmemh) – PLI supports efficient searching for state elements and memory, and initalize them – void initialize_flipflop( ){ db=fopen(“database”,”r”); module=acc_fetch_by_name(“my design”); cell=NULL; while(cell=acc_next_cell(module,cell){ if(cell is sequential){ port=acc_next_port(cell,port); if(port is output){ get_init_value(db,port,&value); acc_set_value(port,&value,&delay); } } } } 10

  11. Integrating the testbenches e-code verilog test-bench PLI C-code Test-bench (with tasks) Data Files Clock Generation Module • In Specman we have seen examples of generating clock • A circuit may have multiple clock domains • Generate them independently, if they are so • Write Clock Multipliers and dividers separately 11

  12. Clock Dividers • i=i%N; //Divide by N if(i==0) derived_clock=~derived_clock; i=i+1; (This is not the best way to divide in hardware, but for test-benches it is more compact and without any hardware components) Clock Multipliers(verilog) • always @(posedge base_clk) begin //if N is known before hand repeat (2N) clock = #(period/(2N)) ~clock; end • forever clock = #(period/(2N)) ~clock; //if N is not known before hand 12

  13. Lab exercise • Write an e module to call a verilog task to generate three clocks: Clock 1, 2 and 3. We do it so that, Clock 2 is a divided by 2 and Clock 3 is a multiplied by 2 clock… Modelling Jitter • Jitter is a common phenomenon in digital design, we may need to verify in such an environment • Verilog Modelling: – initial clock1=1’b0; always clock1 = #1 ~clock1; jitter=$random(seed) % RANGE; assign clock1_jittered= #(jitter) clock1; How can we model jitter in ‘e’? 13

  14. Clock Synchronization • Independent waveforms should be first synchronized for various reasons • always (fast_clock) clock_synchronized<=clock1 //fast clock is the fastest clock in the design Clock Generator Network Primary Clock Multiplier Source Clock Divider distributor Random Frequency Phase Shifter Phase (jitters etc) 14

  15. Stimulus Generation • Synchronous Stimuli Stimuli Memory Input Vector Design Corresponding Code in Verilog • reg [M:0] input_vectors [N:0]; reg [M:0] vector; initial begin $load_memory (input_vectors,”stim_file”); i=0; end always @(posedge stimulus_clock) begin if(apply_input==TRUE) begin vector = input_vectors[i]; design.address<=vector[31:0]; … design.address<=vector[M:M-31]; end end 15

  16. Asynchronous Generation • always begin ready1 @(ready1 or ready2) arm = ready1 | ready2; ready2 end always @(negedge arm) arm begin transmit_data(); end data Self Checking Codes • Dumping signals and comparing with golden responses slow down the simulation process • Checking is moved to the test-bench, so that signals are monitored and compared against, continuously • Technique is called self-checking • Consists of two parts: detection and alert 16

  17. Self-checking test-bench structure Self-checking code Detection Component Is Monitored signal equal to expected Behavior ? no yes Alert component Error tracing information Example of a self-checking test-bench for a Multiplier multiplier inst(.in1(mult1),.in2(mult2),.prod(prod)); --------------------------------------------- expected = mult1 * mult2; --------------------------------------------- if(expected != prod) begin $display(“ERROR: incorrect product”); print the exception values… end 17

  18. Co-Simulation Stimulus DataBase initializer Model Model simulaton simulaton synhronizer comparator Error Error handler handler Co-simulation (contd.) • Assume that DUV is a microprocessor and the reference model is instruction level accurate • After both models are initialized, the RTL model is started with the reset signal • Reference model also simulates off its memory • Then they resynchronize after each instruction (instruction level accurate) • Exchange signals like instruction_retired 18

  19. Re-synchronization • When the instruction_retired signal rises, the RTL model blocks and passes the register values and memory to the ref. model for comparison (call back in ‘e’) • always @(instruction_retired) begin if(instruction_retired) begin halt_simulation; $pass_states_for_comparison; resume_simulation; end end An Implementation Reference Model Thread Comparison Thread RTL Model Thread • A Sample Implementation can be through semaphores 19

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend