foreshadow extracting the keys to the intel sgx kingdom
play

Foreshadow: Extracting the Keys to the Intel SGX Kingdom with - PowerPoint PPT Presentation

Foreshadow: Extracting the Keys to the Intel SGX Kingdom with Transient Out-of-Order Execution Jo Van Bulck 1 Marina Minkin 2 Ofir Weisse 3 Daniel Genkin 3 Baris Kasikci 3 Frank Piessens 1 Mark Silberstein 2 Thomas F. Wenisch 3 Yuval Yarom 4 Raoul


  1. Foreshadow: Extracting the Keys to the Intel SGX Kingdom with Transient Out-of-Order Execution Jo Van Bulck 1 Marina Minkin 2 Ofir Weisse 3 Daniel Genkin 3 Baris Kasikci 3 Frank Piessens 1 Mark Silberstein 2 Thomas F. Wenisch 3 Yuval Yarom 4 Raoul Strackx 1 1 imec-DistriNet, KU Leuven 2 Technion 3 University of Michigan 4 University of Adelaide and Data61 USENIX Security, August 2018

  2. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  3. Evolution of “side-channel attack” occurrences in Google Scholar 4000 3000 2000 DO WE JUST SUCK AT... COMPUTERS? YUP. ESPECIALLY SHARED ONES. 1000 1990 1994 1998 2002 2006 2010 2014 2018 Based on github.com/Pold87/academic-keyword-occurrence and xkcd.com/1938/ 1 / 17

  4. Security in a post-Meltdown world Classic attacker-defender race Exploit and patch application-level vulnerabilities (memory safety, side-channels) App OS CPU 2 / 17

  5. Security in a post-Meltdown world Game changer Meltdown Free universal read primitive → kernel page-table isolation App ? ! OS CPU 2 / 17

  6. Rumors: Meltdown immunity for SGX enclaves? “[enclaves] remain protected and completely secure” — International Business Times, February 2018 “[enclave memory accesses] redirected to an abort page, which has no value” — Anjuna Security, Inc., March 2018 3 / 17

  7. Rumors: Meltdown immunity for SGX enclaves? https://wired.com and https://arstechnica.com 3 / 17

  8. Intel SGX promise: Hardware-level isolation and attestation App App Enclave app OS kernel Hypervisor CPU Mem TPM HDD Trusted Untrusted 4 / 17

  9. Intel SGX promise: Hardware-level isolation and attestation App App Enclave app OS kernel Hypervisor CPU Mem TPM HDD Trusted Untrusted 4 / 17

  10. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  11. Building Foreshadow 5 / 17

  12. Building Foreshadow L1 terminal fault challenges 5 / 17

  13. Meltdown recap: Transiently encoding unauthorized memory Unauthorized access 6 / 17

  14. Meltdown recap: Transiently encoding unauthorized memory Unauthorized access Transient out-of-order window oracle array secret idx 6 / 17

  15. Meltdown recap: Transiently encoding unauthorized memory Unauthorized access Transient out-of-order window Exception (discard architectural state) 6 / 17

  16. Meltdown recap: Transiently encoding unauthorized memory Unauthorized access Transient out-of-order window Exception handler oracle array cache hit 6 / 17

  17. Challenge #1: Intel SGX abort page semantics 7 / 17

  18. Challenge #1: Intel SGX abort page semantics Untrusted world view Intra-enclave view Enclaved memory reads 0xFF Access enclaved + unprotected memory 7 / 17

  19. Challenge #1: Intel SGX abort page semantics Untrusted world view Intra-enclave view Enclaved memory reads 0xFF Access enclaved + unprotected memory SGXpectre in-enclave code abuse 7 / 17

  20. Challenge #1: Intel SGX abort page semantics Untrusted world view Intra-enclave view Enclaved memory reads 0xFF Access enclaved + unprotected memory Meltdown “bounces back” ( ∼ mirror) SGXpectre in-enclave code abuse 7 / 17

  21. Building Foreshadow: Evade the abort page Note: SGX MMU sanitizes untrusted address translation SGX? Abort page semantics: An attempt to read from a non-existent or disallowed resource returns all ones for data (abort page). An attempt to write to a non-existent or disallowed physical resource is dropped. This behavior is unrelated to exception type abort (the others being Fault and Trap). https://software.intel.com/en-us/sgx-sdk-dev-reference-enclave-development-basics 8 / 17

  22. Building Foreshadow: Evade the abort page Note: SGX MMU sanitizes untrusted address translation Van Bulck et al. “Telling your secrets without page faults: Stealthy page table-based attacks on enclaved execution”, USENIX Security 2017 8 / 17

  23. Building Foreshadow: Evade the abort page Straw man: (Speculative) accesses in non-enclave mode are dropped Van Bulck et al. “Telling your secrets without page faults: Stealthy page table-based attacks on enclaved execution”, USENIX Security 2017 8 / 17

  24. Building Foreshadow: Evade the abort page Stone man: Bypass abort page via untrusted page table Van Bulck et al. “Telling your secrets without page faults: Stealthy page table-based attacks on enclaved execution”, USENIX Security 2017 8 / 17

  25. Building Foreshadow: Evade the abort page Stone man: Bypass abort page via untrusted page table Unprivileged system call mprotect( secret_ptr & 0xFFF, 0x1000, PROT_NONE ); Van Bulck et al. “Telling your secrets without page faults: Stealthy page table-based attacks on enclaved execution”, USENIX Security 2017 8 / 17

  26. Challenge #2: Strict caching requirements 9 / 17

  27. Challenge #2: Strict caching requirements L1 terminal fault Only enclave loads served from L1 reach transient out-of-order execution https://twitter.com/lavados/status/951066835310534656 9 / 17

  28. Challenge #2: Strict caching requirements L1 terminal fault Only enclave loads served from L1 reach transient out-of-order execution Foreshadow present bit ↔ Meltdown supervisor bit 9 / 17

  29. Challenge #2: Strict caching requirements Intel micro-architecture Address translation abort in parallel with L1 lookup (tag comparison) CPU micro-architecture T ag? L1D Pass to out-of-order guest host padrs padrs vadrs PT EPT SGX? walk? walk? 1 2 3 EPCM fail 3a Weisse et al. “Foreshadow-NG: Breaking the Virtual Memory Abstraction with Transient Out-of-Order Execution” 9 / 17

  30. Building Foreshadow: Loading enclave secrets in L1 1. Preemptive extraction Interrupt victim enclave at page or instruction-level granularity → Memory operands + CPU registers (SSA) SGX-Step Van Bulck et al. “SGX-Step: A practical attack framework for precise enclave execution control”, SysTEX 2017 10 / 17

  31. Building Foreshadow: Loading enclave secrets in L1 1. Preemptive extraction Interrupt victim enclave at page or instruction-level granularity → Memory operands + CPU registers (SSA) SGX-Step 2. Concurrent extraction Intel HyperThreading: co-resident logical CPUs share L1 → Real time memory accesses 10 / 17

  32. Building Foreshadow: Loading enclave secrets in L1 1. Preemptive extraction Interrupt victim enclave at page or instruction-level granularity → Memory operands + CPU registers (SSA) SGX-Step 2. Concurrent extraction Intel HyperThreading: co-resident logical CPUs share L1 → Real time memory accesses 3. Uncached extraction Forcibly reload 4 KiB enclave page: ewb + eldu → Reliably dump entire enclave address space 10 / 17

  33. Building Foreshadow: Loading enclave secrets in L1 Many more optimization techniques + microbenchmarks → see paper! 10 / 17

  34. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  35. Demo time! Based on xkcd.com/285/ 11 / 17

  36. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  37. Establishing trust: Remote attestation and secret provisioning Binding secrets to enclave identity Goal: Secure end-to-end communication channel + local storage App enclave 12 / 17

  38. Establishing trust: Remote attestation and secret provisioning CPU-level key derivation Intel == trusted 3th party (shared CPU master secret ) App enclave EREPORT EGETKEY Quoting Genuine attestation fl ow enclave 12 / 17

  39. Eroding trust: Remote attestation and secret provisioning Foreshadow adversary Extract long-term platform attestation key → forge Intel signatures App enclave Bogus attestation fl ow Quoting EGETKEY enclave 13 / 17

  40. Eroding trust: Remote attestation and secret provisioning Foreshadow domino effects Active man-in-the-middle: read + modify all local and remote secrets (!) App enclave 13 / 17

  41. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  42. Foreshadow-NG: Breaking the virtual memory abstraction L1 terminal fault [Int18] Unmap page → read arbitrary cached physical memory https://software.intel.com/security-software-guidance/software-guidance/l1-terminal-fault Weisse et al. “Foreshadow-NG: Breaking the Virtual Memory Abstraction with Transient Out-of-Order Execution” 14 / 17

  43. Foreshadow-NG: Breaking the virtual memory abstraction CPU micro-architecture T ag? L1D Pass to out-of-order guest host vadrs padrs padrs PT EPT SGX? walk? walk? 1 2 3 EPCM fail 3a Weisse et al. “Foreshadow-NG: Breaking the Virtual Memory Abstraction with Transient Out-of-Order Execution” 15 / 17

  44. Road map Introduction 1 The Foreshadow attack 2 Demo 3 Dismantling Intel SGX security objectives 4 Foreshadow-NG implications 5 Mitigations and conclusion 6

  45. Mitigating Foreshadow 16 / 17

  46. Mitigating Foreshadow Future CPUs (silicon-based changes) https://newsroom.intel.com/editorials/advancing-security-silicon-level/ 16 / 17

Download Presentation
Download Policy: The content available on the website is offered to you 'AS IS' for your personal information and use only. It cannot be commercialized, licensed, or distributed on other websites without prior consent from the author. To download a presentation, simply click this link. If you encounter any difficulties during the download process, it's possible that the publisher has removed the file from their server.

Recommend


More recommend